TEL Tokyo Electron 5004-200011-12 (2024)

TEL Tokyo Electron 5004-200011-12 (1)TEL Tokyo Electron 5004-200011-12 (2)TEL Tokyo Electron 5004-200011-12 (3)

bbb Tokyo Electron 5087-400476-16 Right Arm DEV ASSY Lithius Working

bbb Tokyo Electron 5087-400478-15 Frame Motor P20B13100FXSA2 Lithius DEV Working

bbb Tokyo Electron 5087-400486-15 Valve Assembly DEV.SOLUTION1 Lithius Working

bbb Tokyo Electron 5087-400487-15 Valve Assembly DEV.SOLUTION2 Lithius Working

bbb Tokyo Electron 5087-403471-16 Duct (COT) Assembly Lithius Working Surplus

bbb Tokyo Electron 5087-403489-15 Scan ARM-B DEV Complete Assembly Lithius Spare

bbb Tokyo Electron 5087-403675-12 Interface Block Robotic Arm Sub Lithius Spare

bbb Tokyo Electron 5087-403836-12 COT Nozzle SMC MA210-AM3-X276C Lithius Working

bbb Tokyo Electron 5087-404285-11 ARM-L SCAN (Z) Assembly EBR Lithius Working

bbb Tokyo Electron 5087-404467-12 Scope Assembly 5004-300016-11 Lithius Working

bbb Tokyo Electron 5087-404517-13 Cup (8) Assembly Lithius Working Surplus

bbb Tokyo Electron 51161-802-001 Interface Block Robot IPL Lithius No Fork Spare

bbb Tokyo Electron 51161-802-001 Interface Block Robot IRAM Lithius w/300mm Fork

bbb Tokyo Electron 6P-009283-024 SHOWER HEAD 8 16-3/4" O.D. AceCo DS33-960

bbb Tokyo Electron 78039NP-809V1 BTM Pre Post Clean Electrode Refurbished

bbb Tokyo Electron 845 CPL Chill Plate Process Station ACT12-200 200mm

bbb Tokyo Electron 845 CPL Chill Plate Process Station ACT12-200 Working

bbb Tokyo Electron 848 TCP Transition Chill Plate Process Station Left ACT12-200

bbb Tokyo Electron 848 TCP Transition Chill Plate Station Left ACT12-200 Working

bbb Tokyo Electron 848 TCP Transition Chill Plate Station Left ACT12-300 As-Is

bbb Tokyo Electron 967106-00 ECC2 Controller EC PCB Card bbbius T-3044SS Working

bbb Tokyo Electron A112915 Latch Actuator Plate Assembly 150mm Surplus

bbb Tokyo Electron A115115 Pod and Tube Weldment Sput 2 Etch Rev. C

bbb Tokyo Electron A116007 200mm Back Plane Wafer Heater with Flat MRC

bbb Tokyo Electron A124566 Cathode Adapter Assembly

bbb Tokyo Electron A125757 PCB Control CPU Assembly

bbb Tokyo Electron A21110-212028-12 Inner Tube Supporter

bbb Tokyo Electron A21110-309640-11 Tube Support Cover

bbb Tokyo Electron AMCP-FPR5C-G Photoresist Pump Filter Assembly Working Spare

bbb Tokyo Electron AP9E-0858E IO Spin #01 Board PCB TKB7000 Lithius Working

bbb Tokyo Electron AP9E-1218B IO Spin MTR #02 Board PCB TKB7031 Working

bbb Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius

bbb Tokyo Electron AP9Z-1835B Interface Board PCB Add-On PIR #02 TKB7121

bbb Tokyo Electron APC-T0047A-11 IF AMHS #02 Board PCB 5044-000063-11

bbb Tokyo Electron B31D16-000050-11 Gas Cabinet UME-ARDC-PC2 Surplus

bbb Tokyo Electron B32610-100717-V1 Upper Electrode PM U3-Y TOP

bbb Tokyo Electron Bell Jar Blank Off Plate 11.94" MRC Stainless Steel Working

bbb Tokyo Electron BX80-000063-11 ECC2 Controller MC Rack Module CONT BOX bbbius

bbb Tokyo Electron Cassette Loader Assembly PR300Z Carrierless BEOL Working

bbb Tokyo Electron Chamber Motor Assembly PK566-NACM VEXTA Trias Working Surplus

bbb Tokyo Electron Chemical Cabinet Reservoir Tank bbb Lithius Working Surplus

bbb Tokyo Electron COT Coat Process Assembly 5087-404467-12 Lithius Working

bbb Tokyo Electron COT/DEV DB Caustic Drain Assembly Clean Track Lithius Working

bbb Tokyo Electron Cover UEL CAPLA HT-L HT-R Unmarked 8 Surplus

bbb Tokyo Electron CPHP General Chamber Station Lithius Type 2 Incomplete As-Is

bbb Tokyo Electron CPL Chill Plate Process Station ACT12-300 SOG SOD C4 Us

bbb Tokyo Electron CPL Chilling Hot Plate Process Station Lithius Working

bbb Tokyo Electron CRA Career Station Block Robotics Arm Robot Lithius Damaged

bbb Tokyo Electron CRA Career Station Block Robotics Arm Robot Lithius Surplus

bbb Tokyo Electron CSENS1 Laser Sensor Assembly Omron E3X-A11 Unity II

bbb Tokyo Electron CT030-008176-1 Female Contact Pin 16-02-0103 300

bbb Tokyo Electron CT067-000773-1 LED Indicator APX850-24R 20 Surplus

bbb Tokyo Electron CT2985-408083-16 Ergo Loader ACT Surplus

bbb Tokyo Electron CT2985-436088-W5 SCT-R Coat Process Station Cup Assembly

bbb Tokyo Electron CT5010-304265-11 Clean Track Adapter Bottle 5

bbb Tokyo Electron Cup Wash Disc 200mm Assembly ACT12-200 Working

bbb Tokyo Electron CX87 Wafer Handling Robot Arm I Yaskawa Electric Spare

bbb Tokyo Electron D112576 Guide Spring Locating Pin Reseller 45 MRC

bbb Tokyo Electron D115635 Long Door Shield (11" Cath.)

bbb Tokyo Electron D117778 CATH ADAPTER, RMX-10

bbb Tokyo Electron D125181-150SP Shield CR Wafer Holder Sputter Coated

bbb Tokyo Electron D127254 200mm Quartz Ring NCH S/E CLP R Surplus

bbb Tokyo Electron D127847 100mm Wafer Holder Assembly Metron A131536

bbb Tokyo Electron Develop Process Station Air Valve Assembly ACT12 300mm As-Is

bbb Tokyo Electron Drive Assembly PQL-INT PCB 3281-000151 P-8 Working

bbb Tokyo Electron Drive Assembly SMC CY1R6H-R5495-125 P-8 Working

bbb Tokyo Electron E244-000058-11 HDD I/F PCB Card E2B022-11/FRIF T-3044SS

bbb Tokyo Electron E280-000062-13 ECC2 Controller CPCI 2L80-003325-13 Working

bbb Tokyo Electron EC80-000117-32 PCB Card TEB108-12/SIO Working

bbb Tokyo Electron EC80-000184-12 4-Port Hub TEB004-12/GOHUB PR300Z Copper Spare

bbb Tokyo Electron EC80-000184-22 4-Port Hub TEB004-22 GOHUB Lithius Working

bbb Tokyo Electron EHX Box Pressure Manometer Panel ACT12 Working

bbb Tokyo Electron Electrode RFRB BTM P Refurbished Surplus

bbb Tokyo Electron EPC-T0091A-11 EXT IF Board #01 PCB TOB1096 ACT12-300

bbb Tokyo Electron ES3D05-250476-V1 Holder Insulator 290-381-9Q Surplus

bbb Tokyo Electron ES3D05-350119-V1 Insulator ESC EXHP-UP Surplus

bbb Tokyo Electron ES3D10-250759-V1 Depo Inner Shield 170 WL FC3 Copper Only

bbb Tokyo Electron ES3D10-351468-V1 Plater Chamber BTM3 FC3 Surplus

bbb Tokyo Electron ESCX10-350810-11 Robot Wafer End Effector Surplus

bbb Tokyo Electron Exhaust Pipe 2910-305871-11 -302708 -305872 6

bbb Tokyo Electron F12-Non Cu-026 Wafer Rinse Wash Cup Assembly Working

bbb Tokyo Electron Filter and Fitting Kit

bbb Tokyo Electron FPC-T0097A-11 IF Fan Control Board #03A PCB TOB1102A

bbb Tokyo Electron F-T100-2 Photoresist Pump Clean Track ACT12 Working Surplus

bbb Tokyo Electron G140130 IRA Plate Assembly

bbb Tokyo Electron HA-039 Board, DC/DC CONV SWC PCB ACT12-300 Working

bbb Tokyo Electron Heater Set of 2 3S87-051891-11 3S87-051892-11 Trias Working

bbb Tokyo Electron Heater Set of 2 3S87-052951-11 3S87-052953-11 Trias Working

bbb Tokyo Electron Helium Manifold CKD IAGD4-TYLX1055-2 DCN1-3N Trias Working

bbb Tokyo Electron HP Tower 2 Gauge Panel Process Station Lithius Working

bbb Tokyo Electron HTE-0V3-E-15 I/O PCB TMB1000 HTE-0C3-C-13 TMB1100 Lithius

bbb Tokyo Electron HTE-MC1-B-12 CONN HPI #01 Board TMB2001 PCB Lithius

bbb Tokyo Electron HTE-OV1-B-11 Oven I/O Board #01 PCB TAB2100 ACT12 Working/N / DebbbbbbionQtyNeslab ThermoFlex 900 Thermo Fisher 101121010000000 Chiller Not Working As-Is1Evans PW-08-EZMF-GVPS-P1-PT Manual Temperature and Pressure Gauge Used1Swagelok SS4BMG Metering Bellow-Sealed Valve Lot of 3 Used Working3Swagelok SS-45S8 40 Series Manual Ball Valve Lot of 2 Used Working5Evans NB-GL-8C-200-X Integrated Manual Regulator Valve Lot of 2 Used1Tescom 44-3213H282-296 Manual Pressure Regulator Brass 44-3200 Used Working9Evans NB-GL-8C-200-XX Integrated Manual Regulator Valve Manifold Used Working1Evans NB-GL-8C-200-XX-IA Integrated Manual Regulator Valve Manifold Used Working7Evans Components NB-GL-8C-200-X Integrated Manual Regulator Valve Manifold Used1Evans Components NB-GL-8C-200-X Integrated Manual Regulator Valve Manifold Used3Edwards 4 Channel Exhaust and Pressure Regulator Control Box Used Working1Lambda LRS-52M-5 Regulated DC Power Supply Used Working4Lambda LRS 52M-5 DC Regulated Power Supply Reseller Lot of 5 Used Working4Lambda LRS 54M-24 DC Regulated Power Supply Reseller Lot of 4 Used Working5Lambda LNS-X-50-OV DC Regulated Power Supply Reseller Lot of 6 Used Working1Lambda LDS-X-15 DC Regulated Power Supply Used Working4Daihen AGA-50B2-V RF Generator DGP-120A2 bbb 3D80-001479-V2 Used Tested Working1Daihen HFA-30A-V RF Match bbb Tokyo Electron 3D80-000158-V3 Used Working2Hitachi 568-5589 PASW PCB Card S-9300 SEM Used Working1Pall DDF1UG001ENP44 Disposable Filter Assembly E00013393 Reseller Lot of 6 New1AMAT Applied Materials 0190-07679 Control Station Interface Specification Used2AMAT Applied Materials 0190-07679 Control Station Interface Specification Used1Hitachi 560-5546 Hitachi S-9300 AMHS IO Card Used Working1Novellus 73-0068 GSNX New Elevator Alignment Tool Left Side Pin GaSonics Used1Edwards NRY0DN000 Pump Control Enclosure Rev. E NRY0DN101 Eason Alarm Used1Diagnostic Instruments HRD076-NIK High Resolution Digital F-Mount Adapter Used1Sony DXC-970MD 3CCD Color Video Camera Power HAD Used Working1SDS V-DSP240/SP Hitachi S-9300 Interface Card SDS-9725 Used Working1bbb Tokyo Electron Set-Up Fixture 200.5 Lot of 2 ACT8 200mm Used Working1Horiba STEC SEF-8240SM-UC Mass Flow Meter Assembly VC-1410-UC BTBAS Used Working1Nemic-Lambda EWS600P-24 Power Supply 600 Watt Used Working1Edwards W65531611 Barocel Pressure Sensor Transducer 100 Torr Used Tested21Edwards W65541611 Barocel Pressure Sensor Transducer 100 Torr Used Tested7Melec C-820A Hitachi S-9300 Control Board working1Omron H3CR-A Multifunction Timer P2CF-11 Reseller Lot of 4 Used Working1KoMiCo S31A-GD-010-A GDP 1.0mm Plate 500080005 Used Working1M.E.C. Tech MEC30512-1266TR Process Plate Copper Exposed Used Working1Parker CPHX-DRIVE Indexer Driver Compumotor Plus Used Working1Hitachi 560-5512 Control Rack NMEM Card S-9300 Used Working1Mykrolis PCL0302E6 Cartridge Filter 0.3µm Planargard CL Reseller Lot of 6 New2C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD Copper New1Edwards W655-21-611 Barocel Pressure Sensor Transducer 10 Torr Used Tested2Hamamatsu R446 Photomultiplier Tube with C956-04 Power Socket Used Working1HItachi 568-5559 Control Rack PS Disp Card S-9300 Used Working1AMAT Applied Materials 0010-77795 High Precision Spray Bar Assembly New1SMC 72-252832-00 Slit-Valve Actuator Blank-Off Plate Used Working1AMAT Applied Materials 0020-26721 Transfer Mount Ball Rev. 003 New1Parker 208195 NC Slit Valve Door New1XP Power F4A3A4A6 Power Supply XPiQ Used Working1Edwards NRY0DN000 Pump Control Enclosure Rev. M NRY0DN101US-A Eason Alarm Used1AEG DAP 218/AS-BDAP-218 Modicon PLC Secondary Rack DAP 208/AS-BDAP-208 Used1Qualidyne 10FC00A-0154 Switching Power Supply 10099 876-5032-00 Used Working1bbb Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Rev. 7E Lithius IRAM Used2bbb Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Rev. 7E Lithius IRAM Used1bbb Tokyo Electron OYDK-059 IO CHEM #02 PCB OYDK-062 Rev. 7E Lithius IRAM Used7bbb Tokyo Electron HA-017 BOARD IF FN #01 Rev. LC Lithius IRAM Used Working2bbb Tokyo Electron HA-017 BOARD IF FN #01 Rev. LC Lithius IRAM Used Working1bbb Tokyo Electron HA-017 BOARD IF FN #01 Rev. LC Lithius IRAM Used Working10Omron G9SC-120-TE2 RY MTR #02 PCB Rev. MF bbb Tokyo Electron Lithius IRAM Used2Omron G9SC-120-TE2 RY MTR #02 PCB Rev. MF bbb Tokyo Electron Lithius IRAM Used1Omron G9SC-120-TE2 RY MTR #02 PCB Rev. MF bbb Tokyo Electron Lithius IRAM Used1AMAT XR80 Card Chassis Assy. 0090-91694 ITL working3NSK E0443ZZIF1-011A PCB TH-I/F bbb Tokyo Electron Lithius IRAM Used Working2NSK E0443ZZIF1-011A PCB TH-I/F bbb Tokyo Electron Lithius IRAM Used Working1NSK E0443ZZIF1-011A PCB TH-I/F bbb Tokyo Electron Lithius IRAM Used Working9bbb Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used2bbb Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used1bbb Tokyo Electron SPC-T0046A-11 Board IF STP #02 TOB1046 Lithius IRAM Used9bbb Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used1bbb Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used2bbb Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius Used10bbb Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Used Working2bbb Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Used Working1bbb Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Used Working13bbb Tokyo Electron IPC-T0053A-11 Photo Resist Switch Panel Lithius Used Working1bbb Tokyo Electron IPC-T0053A-11 Photo Resist Switch Panel Lithius Used Working16bbb Tokyo Electron MPC-T0048A-11 10 MTR #02 Rev. 7A TOB1048 HA-016 Lithius Used2bbb Tokyo Electron MPC-T0048A-11 10 MTR #02 Rev. 7A TOB1048 HA-016 Lithius Used1bbb Tokyo Electron MPC-T0048A-11 10 MTR #02 Rev. 7A TOB1048 HA-016 Lithius Used7AMAT Applied Materials 9091-01393 Loop Controller PXP41W Used Working1Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used1Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used1Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used2Komatsu Electronics 20001873 Thermo Module Controller RCC-300 Lithius Used7bbb Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working1bbb Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working2bbb Tokyo Electron 300mm Wafer Shuttle IRAM Interface Block Lithius Used Working10Mitsubishi SD-Q19 Magnetic Contactor Reseller Lot of 4 bbb Lithius Used Working4Mitsubishi NF50-SWU bbb Lithius Circuit Breaker Set 50A 30A 15A Lot of 5 Used1Panasonic MADCT1503 AC Servo bbb Tokyo Electron 5080-192752-11 Lithius IRAM Used8Panasonic MDDCT5316 AC Servo bbb Tokyo Electron 5080-192753-11 Lithius IRAM Used9Novellus 73-0068 GSNX New Elevator Alignment Tool Right Side Pin GaSonics Used1Komatsu 20001920 Controller MCU-02TM bbb Lithius Interface Block IRAM Used1bbb Tokyo Electron HA-017 PCB BOARD IF FN #01 Used Working30bbb Tokyo Electron HA-017 PCB BOARD IF FN #01 Used Working2NSK 51161-802-001 Interface Block Robot Assembly bbb Tokyo Electron Lithius Used3Cambridge Fluid Systems 0010-00888 Gas Panel Working1Cambridge Fluid Systems 0010-00888 Gas Panel Working1Cambridge Fluid Systems 0010-00888 Gas Panel Working2Cambridge Fluid Systems 0010-00888 Gas Panel Working1NSK ESB-SSB020A23F2-02 Robot Servo Drive bbb 5080-192754-11 Lithius Used Working10bbb Tokyo Electron 5080-192750-12 X-Axis Upper IRAM XY-HS0026-802 Lithius Used1bbb Tokyo Electron 5080-192749-12 X-Axis IRAM XY-HS0051-804-001 Lithius Used1NSK M-SSB020FN502 Robot Servo Motor bbb 5080-192472-12 Lithius Used Working1NSK 51161-802-001 IRAM X-Axis Robot Base Assembly 5080-192466-11 Lithius Used1SMC INR-244-272B Power Supply Assembly 2TP-1B861 bbb Tokyo Electron Lithius Used2SMC INR-244-272B Power Supply Assembly 2TP-1B861 bbb Tokyo Electron Lithius Used4SMC INR-244-272 Power Supply Assembly 2IP-0C203 bbb Tokyo Electron Lithius Used26bbb Tokyo Electron 5087-403670-12 Interface Block Robotic Track Set Lithius Used2MKS Instruments AS00124-01 MicroNode I/O Module Rev. A Used Working1MKS Instruments AS00121-01 MicroNode I/O Module Rev. A Used Working1Granville-Phillps 275262 Vacuum Convectron Gauge 275 Lot of 2 Used Working4Eastek 36-0428 Power Supply PCB P1228-PWR-208 Used Working1Valcom MPRN-(-10~760mmHg)-1VAO-4-TL Pressure Switch Used Working1Varian E1000 Vent Valve Control 9699831S001 E370001051Valcom RN-760Torr-2VAO-4TL7 Pressure Switch Used Working2Granville-Phillips 352001 Gauge Controller 112 Used Working2Granville-Phillips 352016 Gauge Controller Series 352 Rev. A Used Working1Granville-Phillips 20352001 Gauge Controller 112 Used Working1Granville-Phillips 20352001 Gauge Controller Series 352 Used Working1Watlow DC80-24C0-0000 Solid State Power Control DIN-a-mite Used Working1Watlow DC10-20L5-0000 Solid State Power Control DIN-a-mite Used Working1Hitachi HT98310 PS Card PCB Reseller Lot of 2 Used Working3Granville-Phillips 307130 Vacuum Gauge Controller 307 Used Working1Yamatake CMC10ACL000 Control & Communication bbbb CMC10 CC-bbbb Used Working3Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working2Yamamoto EMP2SD210D Manometer Manosys EM-100 Receiver Used Working1Yamamoto EMP35D010D Manometer Manosys EM-100 Receiver Lot of 3 Used Working1Load Controls Incorporated PH-3A Power Cell Power Transducer Used Working1Omron G32A-A40-VD Power Device Cartridge Reseller Lot of 6 Used Working1Omron E5EJ-A2H02 Digital Temperature Controller Used Working1Omron E5EJ-A2HB Digital Temperature Controller Used Working1Saginomiya LNE-ZN20-010 Pulse Converter Used Working1Saginomiya LNE-ZN20-010 Pulse Converter Used Working9AMAT Applied Materials 0150-09200 RF Cable 55 Foot 16.7m Used Working1JAI CV-A1 Progressive Scan Camera Tamron 1:1.4 Used Working1Yamamoto EMT1B0FVD Manosys Differential Pressure Transmitter 1B0FVD Used Working2Modus Instruments DA-4-05M-0-RR-15-114 Display Alarm Lot of 2 Used Working1Zellweger Analytics 2414-0017 Non-Intrusive Calibrator Digi-Cat Gauge Rev 7 Used1Horiba Stec SEF-8240SM-UC Mass Flow Meter Assembly SMT-8000 BTBAS Used Working1AP Tech AP1810SM Pressure Regulator Valve 002-17070-000 Used Working2Screen SL-2210-FC-Z Network Control Module Used Working1Screen SL-2210-FC-Z Network Control Module Used Working2MKS Instruments 103250028 Pirani Vacuum Transducer 325 Moducell Used Working7Malema USC-721-11 Ultrasonic Flowmeter USC-721 Converter Used Working1KAHN 75-1514-10 Ceramic Digital OEM Hygrowmeter Used Working1AMAT Applied Materials 0010-41466 INERT SLD NEON CDS Reseller Lot of 10 New1THK KR2602A+111L0E-100B Linear Slide and Coupling AMAT 0190-34122 New1Johnson Controls A350AA-1 S350AA-1 D350AA-1 Temperature Control Lot of 7 Used1Allen-Bradley 440R-B2J020 Saftey Relay MSR5T Guardmaster Lot of 2 Used Working2Zellweger Sieger 00705-A-1733 Sensor Assembly Used Working2AP Tech AP1010SM Pressure Regulator Valve Used Working1Tescom 449-265-0RR9 Manual Pressure Regulator Valve Used Working1Evans Components NB-GL-8C-200-X-IA Integrated Manual Regulator Manifold Used1Yamatake C25TV0UD1200 Single Loop Controller SDC25 Used Working1KLA-Tencor 0055425-000 MFC Remote Assembly AIT UV Darkfield Used Working1KLA-Tencor 0055425-000 MFC Remote Assembly AIT UV Darkfield Used Working1Federal Pioneer MGFR-1-ZB Ground Fault Relay PRO-DEC-TOR mgfr Used Working1Pisco DMM-300 Fiber Dry Pneumatic Air Dryer Used Working3A-B Allen-Bradley 100-A60N*3 Contractor GD013 Lot of 2 Used Working1Farnell Instruments NA200P300/B Power Supply Used Working1Granville-Phillips 307130 Vacuum Gauge Controller 307 Cover Damage Used Working1Acopian URB34GT150 Regulated Power Supply Used Tested Working1Acopian 51515T6A Triple Output Power Supply Used Tested Working2TDK RKW24-27R Switching Power Supply Used Working2TDK FAW24-6R0 Power Supply Reseller Lot of 5 Used Working1TDK FAW12-2R1 Power Supply Reseller Lot of 5 Used Working1Cosel 5230388PR Power Supply P30E-12 Lot of 2 Used Working1bbbemecanique ABL8REM24030 Power Supply Lot of 2 Used Working1Nagano Keiki GC84-1D9 Digital Indicator Lot of 3 Used Working1Copal Electronics PZ-200 Pressure Indicator Used Working1Ham-Let H-700-SS-L-1"-T-LD Manual Valve Reseller Lot of 4 Used Working1SensArray 50-2030-01 Resistance Calibration Standard RTD Module 1000O New1SensArray 50-2330-02 Resistance Calibration Standard RTD Module 1375O New1Shindengen FYX131/62P-BDDd Switching Power Supply 8570082 Used Tested Working1SensArray 50-1819 Adapter RTD Module New1Omron G3NE-210T Solid State Relay Y92B-N50 Heat Sink Reseller Lot of 5 Used1Fuji Electric BRRIIN-01S Earth Leakage Relay Used Working4Rudolph Technologies A16108 HIGH PASS/C Board PCB Rev. B Used Working1SMC NCDQ2B100-10D Double bbbbbb Pneumatic Cylinder Reseller Lot of 3 Used1Radisys 63-0050-02 Processor Module 100MHz VMEBUS EPC-5A EXM-13A Used Working1A-B Allen-Bradley 61-0255-40 Processor Module PLC-5/V30B VME-5/30 Used Working1A-B Allen-Bradley 61-0255-32 Processor Module PLC-5/V30B VME-5/30 Used Working1VMIC 332-000113-427 D VMEbus Processor Card VMIVME 2532A Used Working2Yamatake SDU10T0100 Temperature Controller SDU10 Reseller Lot of 3 Used1bbb Tokyo Electron TEB004-22 GOHUB Comms Module EC80-000184-22 Lithius Used2bbb Tokyo Electron TEB004-22 GOHUB Comms Module EC80-000184-22 Lithius Used15Lam Research 810-17004-001 Solenoid Interlock PCB Board Rev. D Used Working2Panasonic MBDCT1507 AC Servo Drive bbb Tokyo Electron Lithius CRA FOUP Used14Panasonic MBDCT1507 AC Servo Drive bbb Tokyo Electron Lithius CRA FOUP Used2Panasonic MBDCT1507B02 AC Servo Drive bbb Tokyo Electron Lithius CRA FOUP Used13Panasonic MBDCT1507B02 AC Servo Drive bbb Tokyo Electron Lithius CRA FOUP Used2Panasonic MCDCT3312 AC Servo Drive bbb Tokyo Electron Lithius CRA FOUP Used2Panasonic MCDCT3312 AC Servo Drive bbb Tokyo Electron Lithius CRA FOUP Used13Panasonic MBDCT1503 AC Servo Drive bbb Tokyo Electron Lithius CRA FOUP Used4Panasonic MBDCT1503 AC Servo Drive bbb Tokyo Electron Lithius CRA FOUP Used13Panasonic MBDCT1503 AC Servo Drive bbb Tokyo Electron Lithius CRA FOUP Used2Welch 8910A Vacuum Pump DirecTorr V C37JXDW-157 Used Tested As-Is1Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y bbb Tokyo Electron Lithius Used2Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y bbb Tokyo Electron Lithius Used1Taiyo Machinery NP8148Q030-2 PCB Board 12SCRA Y bbb Tokyo Electron Lithius Used14Daikin DFS509TC1BR Fan Filter MMH5000970 bbb Tokyo Electron Lithius FFU Used2Daikin DFS509TC1BL Fan Filter MMH5000970 bbb Tokyo Electron Lithius FFU Used2Taiyo Machinery MP-300-R2 Mapping Unit bbb 5087-403663-11 Lithius Used Working4Taiyo Machinery MP-300-R2 Mapping Unit bbb 5087-403663-11 Lithius Used Working8Lam Research 810-017012-001 Heartbeat PCB Board Rev. F Used Working1Lam Research 810-017012-001 Heartbeat PCB Board Rev. F Used Working1bbb Tokyo Electron Optical Disc Drive DVD Assembly Lithius Used Working13bbb Tokyo Electron Optical Disc Drive DVD Assembly Lithius Used Working2Cosel AC3-OHRR-00 Power Supply ACE300F bbb Tokyo Electron Lithius Used Working12Cosel AC3-OHRR-00 Power Supply ACE300F bbb Tokyo Electron Lithius Used Working2Panasonic MSMA082S2N AC Servo Motor Y AXIS CRA bbb Tokyo Electron Lithius Used1Panasonic MSMA082S2N AC Servo Motor Y AXIS CRA bbb Tokyo Electron Lithius Used1bbb Tokyo Electron 5085-404809-11 Career Station Block Robot Track Lithius Used1bbb Tokyo Electron 5085-404809-11 Career Station Block Robot Track Lithius Used2bbb Tokyo Electron 5085-404811-11 Career Station Robot Assembly Lithius Used2Fuji Electric M-SPS1000RM-2F UPS bbb Tokyo Electron Lithius No Battery As-Is1Fuji Electric M-SPS1000RM-2F UPS bbb Tokyo Electron Lithius No Battery As-Is2bbb Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working2bbb Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working1bbb Tokyo Electron CPC-T0033A-12 IO CSB Board #01 TOB1033 Lithius Used Working4bbb Tokyo Electron MPC-T0048A-11 IO MTR #02 Rev. 01 TOB1048 HA-016 Lithius Used2bbb Tokyo Electron MPC-T0048A-11 IO MTR #02 Rev. 01 TOB1048 HA-016 Lithius Used1bbb Tokyo Electron MPC-T0048A-11 IO MTR #02 Rev. 01 TOB1048 HA-016 Lithius Used5bbb Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working8bbb Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working8bbb Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working4bbb Tokyo Electron TK001-90-A-11 PCB Board H4711 Lithius Used Working40bbb Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used2bbb Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used1bbb Tokyo Electron TKB7050 CTRL DISP #01 PCB Board AP9E-0995B Lithius Used13bbb Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used2bbb Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used1bbb Tokyo Electron APC-T0047A-11 IF AMHS #02 PCB TOB1047 Rev. LH Lithius Used14Omron G9SC-120-TE2 RY MTR #02 PCB Board bbb Tokyo Electron Lithius Used Working10Omron G9SC-120-TE2 RY MTR #02 PCB Board bbb Tokyo Electron Lithius Used Working2Omron G9SC-120-TE2 RY MTR #02 PCB Board bbb Tokyo Electron Lithius Used Working1Omron G9SC-120-TE2 RY MTR #02 PCB Board bbb Tokyo Electron Lithius Used Working21Omron G9SC-120-TE2 RY MTR #02 PCB Board bbb Tokyo Electron Lithius Used Working2bbb Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DK PHA-012-1 Lithius Used1bbb Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DK PHA-012-1 Lithius Used7bbb Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DJ PHA-012-1 Lithius Used1bbb Tokyo Electron HA-012 DC/DC CONV #02 PCB Rev. DJ PHA-012-1 Lithius Used48Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 bbb Tokyo Electron Lithius Used26Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 bbb Tokyo Electron Lithius Used8Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 bbb Tokyo Electron Lithius Used4Edwards W655-31-611 Pressure Sensor Transducer 100 Torr Used Tested Working1Neslab 349104040121 Recirculating Chiller CFT-75 Used Tested Not Working1Tamagawa TA3420N Track Ball bbb Tokyo Electron Lithius Used Working2Tamagawa TA3420N Track Ball bbb Tokyo Electron Lithius Used Working1Meiden UA023/350A Lithius Career Station Controller bbb Tokyo Electron Used3Meiden UA023/350A Lithius Career Station Controller bbb Tokyo Electron Used4Meiden YZ80ZM-11 FDD HDD Mirror Card bbb Tokyo Electron Lithius Used Working1Meiden YZ80ZM-11 FDD HDD Mirror Card bbb Tokyo Electron Lithius Used Working7Advanet AGPCi8012 CompactPCI PCB Card bbb Tokyo Electron Lithius Used Working2Advanet AGPCi8012 CompactPCI PCB Card bbb Tokyo Electron Lithius Used Working1Advanet AGPCi8012 CompactPCI PCB Card bbb Tokyo Electron Lithius Used Working8bbb Tokyo Electron E2B301-11/UEC Ghost PCB Card E208-000020-11 Lithius Used2bbb Tokyo Electron E2B301-11/UEC Ghost PCB Card E208-000020-11 Lithius Used1bbb Tokyo Electron E2B301-11/UEC Ghost PCB Card E208-000020-11 Lithius Used7Densan DCP-P750/11 CompactPCI Processor PCB Card bbb Tokyo Electron Lithius Used1Densan DCP-P750/11 CompactPCI Processor PCB Card bbb Tokyo Electron Lithius Used8bbb Tokyo Electron E2B401-11/UMC Ghost PCB Card E2B402-11/EGUM Used2bbb Tokyo Electron E2B401-11/UMC Ghost PCB Card E2B402-11/EGUM Used1Meiden UP003 Power Supply Module PCB Card bbb Tokyo Electron Lithius Used7Meiden UP003 Power Supply Module PCB Card bbb Tokyo Electron Lithius Used2Meiden UP003 Power Supply Module PCB Card bbb Tokyo Electron Lithius Used1Meiden UA023/350A Industrial Computer Case SU52A40224 bbb Lithius Used Working1Meiden UA023/350A Industrial Computer Case SU52A40224 bbb Lithius Used Working1Lincoln Electric R3R-300 DC Arc Welder Idealarc Used Working1Lam Research 810-17016-001 Stepper Motor Driver PCB Card Rev. E Used Working11bbb Tokyo Electron 3D10-300461-V1 Fixture Gap 110-210 New1Densei-Lambda DPS2800 Power Supply bbb Tokyo Electron Lithius Used Working10Lam Research 853-017805-045 RF Cable 15 Meter Rev. B Used Working1bbb Tokyo Electron 5087-402251-17 CPHP General Chamber Type 1 Lithius Used1bbb Tokyo Electron 5087-402251-17 CPHP General Chamber Type 2 Lithius Used2bbb Tokyo Electron HTE-0V3-E-15 IO HP #01 PCB TMB1100 HTE-0C3-C-13 Lithius Used22bbb Tokyo Electron HTE-0V3-E-15 IO HP #01 PCB TMB1100 HTE-0C3-C-13 Lithius Used2bbb Tokyo Electron HTE-0V3-E-15 IO HP #01 PCB TMB1100 HTE-0C3-C-13 Lithius Used2bbb Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working19bbb Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working9bbb Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working2bbb Tokyo Electron HTE-OV3-E-15 IO HP #01 PCB Board TMB1000 Lithius Used Working2bbb Tokyo Electron 5087-403592-14 Cup Washer Holding Stage (2) CWH Lithius Used1bbb Tokyo Electron HP Tower 1 Gauge Panel Process Station Lithius Used Working1Nikon 2S700-580-1 System Control PCB SCPU Optistation 3 Used Working1Nikon 2S700-580-1 System Control PCB SCPU Optistation 3 Used Working8bbb Tokyo Electron HP Tower 2 Gauge Panel Process Station Lithius Used Working1Pro-Face GP37W2-BG41-24V Graphic Panel Touch Screen QPM3D200B2P Used Working3Total Control QPH2D100L2P Handheld Operator Interface Pendant Used Working1Pro-Face GP37W2-BG41-24V Graphic Panel Touch Screen QPM3D200B2P Fibox Case Used4bbb Silicon Valley Group 99-80269-01 Shuttle Interface Board PCB Rev. G 90S Used3Aera TC FC-D985CT-BH Mass Flow Controller FC-D985c 300 CCM CI2(0.856) Used1bbb Spin Station Expansion Board 99-80207-03 working1Asyst 9700-6209-01 Power Distribution Center with AC/DC Switch Epsilon 3000 Used1bbb Shuttle Interface Board 99-80269-01 Rev.J working1Nicolet Instrument ECO8S FT-IR Infrared Spectrometer Used Working1Whedco 78006002 Servo Motor Controller Jr Series IMJ-313E-X-D Used Working1AB Allen-Bradley 9101-2323 Servo Drive Ultra Series 1398-DDM-009 Used Working1Whedco 78006002 Servo Motor Controller Jr Series IMJ-313E-X-D Used Working5Electro-Craft 9101-1592 Servo Drive Advantage Line DDM-009 Used Working1Omron S82K-10024 Power Supply Lot of 2 Used Working10GE Fanuc IC693UDR005LP1 Programmable Controller Series 90 Mirco Used1Panasonic MUMS041A1EOS AC Servo Motor Used Working1Lam Research 853-002900-010 RF Cable Assembly New1Parker Compumotor TS42B-DKNPS 1.8° Step Motor Used Working1GE Fanuc MTR-3N31-H-R-N-S-A Brushless Servo Motor 518-43-010 Used Working4Whedco 35005710 Servo Motor MTR-3N31-H-R-O-N-O Gearhead 518-43-010 Used Working2Oriental Motor 5IK60GU-AW Induction Motor 5GU36KB Gear Head Used Working6Yaskawa SGMAH-04AAA4C AC Servo Motor Used Working6Pacific Scientific E31NRLT-LNF-NS-00 1.8° Step Motor Used Working8Haydon Switch & Instrument LR430-05-0055ENG Servo Motor Used Working1PRI Keyboard & Slave Control Board BM26380/F working1Applied Motion HT17-068 Step Motor Reseller Lot of 5 Used Working2Pacific Scientific P21NRHS-LNF-NS-02 Step Motor Reseller Lot of 4 Used Working4Anaheim Automation 23L9104XCS-02 Stepper Motor Reseller Lot of 5 Used Working6GE Fanuc HE693STP104AX Indexer Stepper Motor One Axis Used Working2GE Fanuc Series 90-30 PLC 5-Slot Controller IC693PWR321Z Used Working3A-B Allen-Bradley 1762-L40BXBR PLC Controller 1762-IQ8 1762-OW16 Used Working1PRI RAM 1 16K Board BM70053 working1Applied Motion 354ØMO Stepper Driver Dual Control Used Working15Applied Motion 354ØMO Stepper Driver Single Control Used Working7Applied Motion 1000-213 Stepper Driver PCB Assembly Used Working2Minarik XL3025A-Q-0583 DC Motor Speed Controller Lot of 2 Used Working13Minarik XL3025A-Q-0942 DC Motor Speed Controller Lot of 2 Used Working7Applied Motion 1000-053E Stepper Driver PCB Used Working3Sti 44507-0100 Actuated Safety Inerlock Switch Set of 2 Used Working11Sti 44507-0100 Safety Interlock Switch MA Series Ferrogard 9 Lot of 4 Used1PRI CPU85 XYZ Control Board BM70020 working1ULVAC Sinku Kiko DSB-600 Sliding Valve Type Vacuum Pump 590 Torr Tested As-Is1ULVAC Sinku Kiko DSB-600 Sliding Vane Type Vacuum Pump 700 Torr Tested As-Is1Patlite SE-T Modular Signal Tower Reseller Lot of 4 Used Working1Norgren S-A00936 Wafer Chuck Cylinder MRC Eclipse Used Working1AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working1Edwards C41317000 Right Angle Valve PV25SPKA AMAT 0151-90830 Used Working2Mitsubishi NF50-SWU Circuit Breaker 50A 30A Reseller Lot of 4 Used Working1Mitsubishi NF50-SWU Circuit Breaker 15A 5A Reseller Lot of 3 Used Working1HItachi 271-0011 Control Rack HV Card ESBI 100A ESB|100 S-9300 Used Working24Semitool Output Board Assy. 14831-01 working1Applied Motion 4034-329 Synchronous Stepping Motor Used Working2Glentek GM2345-16-02001810-031 Permanent Magnet DC Servo Motor Used Working1Glentek 3101112-1 Servo Amplifier Driver GA365-1 Used Working3View Engineering 2870030-501 Interconnect Sub-Panel PCB 8100 Used Working3Verteq Level/Pressure Sensor Sunburst Cleaner Used Working1View Engineering 2109380-511NC Connector Interface Sub-Panel Used Working1PRI Encoder Interface Board BM05750 working1Verteq MP-005-02 Process Controller Sunburst Megasonic Cleaner Used Working1Acuity Imaging CM4000 CCD Black and White Camera Used Working1View Engineering 10000-501 PLC PCB Programmable Controller C40H-C6DR-DE-V1 Used1Sound Vision SV Micro Microscope CCD Camera Used Working1Opto Sonderbedarf ZOOM 70XL Microscope Lens bbbi CS8620Ci Camera Assembly Used1Oriental Motor E2936-524 Rebbbbbb Synchronous Motor ZGN18KA Used Working2Lambda LFS-46-48 Regulated Power Supply Used Working1GSI Lumonics 440-0234-001 Laser Power Module 450-0058-001 Used Working1Glentek 3101086-2 Servo Motor GM1510B Used Working1Whedco 35004561 Servo Motor MIR-1220-E-D-E-O Used Working1Whedco 35004563 Servo Motor MIR-1235-E-D-E-O Used Working1Oriental Motor USM206-401W Speed Control Motor Gear Head 2GN7.5K Used Working4PRI CPU85 Pitch Control Board KX00002 working2Panasonic MSM042A4A Servo Motor Used Working1Panasonic MSM022A1F Servo Motor Used Working1Keyence FS-V11 Photoelectric Optical Sensor Reseller Lot of 4 Used Working7NF Electronic Instruments Actuator Controller Panasonic LSC BP22S-MJ Used1Panasonic PU22EX-1 LED Light Controller LSC BP22S-MJ Used Working1Panasonic CCD/CCU Video Module LSC BP22S-MJ Used Working1Keyence LX2-60 Photoelectric Thru Beam Amplifier Used Working1Panasonic EDTCB07QLF 10.5 Inch LCD Screen ECXF6604 LSC BP225-MJ Used Working1SMC AMR3000-02S Pneumatic Manifold Panasonic LSC BP225-MJ Used Working1OKI HCK-89911-02 Keypad Panasonic LSC BP22S-MJ Used Working1Panasonic SMEMA Box SSR Relay Module LSC BP22S-MJ Used Working1Hoya-Schott MegaLight 100 Fiber Optic Illuminator Panasonic LSC BP225-MJ Used2Oriental Motor PK564AW 5-Phase Stepping Motor Vexta Used Workin1Lam Research 810-17016-1 Stepper Motor Driver PCB Card Rev. B Used Working1Lam Research 810-17018 Gap Motor Controller Board PCB Used Working1PRI Encoder Interface Board BM05753 working1Lam Research 853-190023-001 Controller Assembly 272072-00 Lam Rainbow 4420 Used2Anorad 73777 Brushless Servo Amplifier SMA8415-1C54-003-1A-1-01 Used Working2Galil Motion Control ICM-1900 Interconnect Module AMP-1900 Used Working2Keyence RV3-55B/R Multifunctional Controller RV3-SSR Used Working1GD California SYS68K/SIO-2 VME PCB Card Lam 810-017038-002 Rev. C Used Working1Force Computers 890-14443-101 VME Card SYS68K/SASI-1 LAM MOD 1 4420 Used Working1PRI I/O Interface Board BM70591 working2Lam Research 810-017031-003 ADIO A0 PCB Card Rev. 2 Used Working1Lam Research 810-17031-3 ADIO A0 PCB Card Rev. 2 Used Working4Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Used Working1Edwards B4138 High Vacuum Tube Tee ISO80 ISO-K NW25 iQDP Series Used Working2Edwards C5213 High Vacuum Tube Tee ISO100 ISO-K NW25 Used Working2Edwards C5118 High Vacuum Tube Tee ISO100 ISO-K NW25 Used Working6Edwards C5168 High Vacuum Adapter Tube Tee ISO160 to ISO100 NW25 Used Working2Edwards C3095 High Vacuum Adapter Tee ISO63 ISO-F to ISO100 ISO-F NW25 Used1Edwards High Vacuum Reducer Tee ISO100 ISO-K to NW50 Used Working2PRI 128K RAM Board BM29095 working1Key High Vacuum SL-150-NA113802 Manual Isolation Valve Used Working1ATMI Showerhead BSE 0101091-205 01-INT-006 refurbished1bbb Tokyo Electron 5085-404811-11 Career Station Robot No Effector Lithius Used1SMC CDQ2A50-30D Pneumatic Cylinder CDQ2B63-25D Reseller Lot of 3 Used Working1Hitachi KP-F100 Digital CCD Monochrome Camera Used Working1Yaskawa SGMAH-01AAA21 AC Servo Motor Used Working1MRC Materials Research A112990 Throttle Valve Assembly Rev. M Eclipse Star Used3Honeywell 300mm Sputtering Target 07-600/15 new1Key High Vacuum GV-6AP-4010780 Gate Valve MRC Eclipse Star Used Working7bbbi CS8320B CCD Camera TV0440A9 Assembly LKT Automation TMT 1214 Used Working1Oriental Motor USM425-402W Speed Control Motor 4GN7.5K Gear Head Used Working2Advantech IPC-610BP-250 Vision PC 610 LKT Automation TMT 1214 Used Working1Advantech IPC-610BP-250 Handler PC 610 LKT Automation TMT 1214 Used Working1Tang Kai Transbbbber Engineering 15KVA 415V Transbbbber Used Working1SMC ZM131H-K5LZ-E15 Vacuum Generator ZSE1-00-15 Used Working2Lin Engineering 5609X-01SD-01 0.9° Step Motor Used Working1Yamatake HPX-T1 Photoelectric Sensor Reseller Lot of 4 Used Working1MRC Materials Research A114569 Index Assembly Rev. A Eclipse Star Used Working1CTI-Cryogenics 8039348 Pressurized Braided Stainless Steel Hose Used Working3CTI-Cryogenics 8039346 Pressurized Braided Stainless Steel Hose Used Working2CTI-Cryogenics 8039345 Pressurized Braided Stainless Steel Hose Used Working4Square D JCK-23V20 Adjustable Time Delay Relay Reseller Lot of 7 Used Working1MRC Materials Research A116924 Chamber Select Panel Eclipse Star Used Working1MRC Materials Research A127527 Heater Power Control Eclipse Star Used Working1Tadin 810002000 TadiSence Remote Sensing System TRS-A02 MRC Eclipse Used Working2Siemens ED43B015 Circuit Breaker Sentron Series I-T-E 15A 480VAC Used Working4Siemens ED43B050 Circuit Breaker Sentron Series I-T-E 50A 480VAC Used Working1Oriental Motor PH268M-E15 2-Phase Stepping Motor Vexta Used Working1Crydom D4850 D2440 Solid State Relay Reseller Lot of 9 Used Working1DNS Dainippon Screen DSX-L48/D Level Controller Sensor New Surplus1Edwards GVI 50 M Bellows Gate Valve B651-01-00 Used Working1bbb Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius Used21bbb Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius Used27bbb Tokyo Electron AP9E-1222A CONN SPIN #03 Board PCB TKB7061 Lithius Used36Edwards 3D80-000338-V1 STP SCU Turbomolecular Cable 20M bbb Used Tested Working2AMAT Applied Materials 0010-76061 HP ENP Transfer Robot Centura RTP Used Working2Siemens ED43B040 Circuit Breaker Sentron Series I-T-E 70A 480VAC Used Working2Siemens ED43B020 Circuit Breaker Sentron Series I-T-E 480VAC 20A Used Working4AMAT Applied Materials 0150-76512 CMP2 Controller Backplane Cable Umbilical Used1AMAT Applied Materials 0150-76177 CGP1 Controller Backplane Cable Gas Panel Used1AMAT Applied Materials 0150-76682 CLP1 Controller Backplane EMC COMP Used1AMAT Applied Materials 0150-76181 CMP3 Controller Backplane EMC COMP Used1Mitsubishi SD-N220 Magnetic Contactor 220 Amp Used Working4Tamagawa TS3653N95E8 Step Motor Used Working1BTM Corporation PCO-100-V130 Linear Clamp Cylinder Lot of 2 Used Working3White Safetyline 192510 Static Discharge Hook Stick Used Working1CTI-Cryogenics 8043341G600 Cryopump Flex Hose with TEE 8043504G002 Used Working1CTI-Cryogentics 8043454G600 Cryopump Flex Hose with Tee 8043505G002 Used Working1bbb Tokyo Electron HPT-106-2 Tubephragm Pump N2 Lithius Process Block Used9bbb Tokyo Electron HPT-106-2 Tubephragm Pump N2 Lithius Process Block Used51bbb Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used6bbb Tokyo Electron ZPC-T0036A-11 CONN PRA Z #01 Board PCB TOB1036 Lithuis Used9bbb Tokyo Electron BPC-T0038A-11 CONN PRA Base #01 PCB TOB1038 Lithius Used16Shinyei THT-B5377-0.7 Humidity & Temperature Transmitter bbb Lithius Used1Komatsu Electronics MCE-83WS Circulating Pump bbb Tokyo Electron Lithius Used8Miki Pulley BXH-12-10-A-20 Pulley Z Brake bbb 5085-407039-11 PRA Lithius Used1MKS Instruments 225A-24596 Baratron Tokyo Electron Lithius Used Working2MKS Instruments ETVA-24591 Exhaust Throttle Valve bbb Lithius Used Working2ADTEC Technology AT-400A PCB 03-170137-00 GasSonics Aura 2000LL Used Working3KLA-Tencor CRS 2000 Mirror Assembly working1ADTEC Technology AT-400A PCB 27-286643-00 GasSonics Aura 2000LL Used Working2Novellus 03-164888-00 DC/DC Converter Board PCB Rev. B Lot of 2 Used Working2Novellus 03-164888-00 DC/DC Converter Board PCB Rev. A Lot of 2 Used Working2General Scanning 2860257-503 8100 View Engineering 3D LED Scanner Used Working1View Engineering Heat Exhaust Unit 1NB412S77 8100 3-D Scanning Used Working1View Engineering 2870240-1 System Controller 8100 3-D Scanning System Used1Nor-Cal Products ESV-1002-NWB Manual Isolation Valve Used Working2Nor-Cal Products ESVP-1002-NWB-SA Pneumatic Isolation Valve Used Working1Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used10Precise Sensors 3441-0006501C6WSE02L0002 Pressure Gauge 4861-65-GA-RM Used12Leybold 20014895-000-1.6M Turbovac 340 M Cable Set 20014896-000-1.6M Used Tested1Nidec UGTMEM-01SNQ41 Minertai Motor Recitle Loader Robot X-Axis Used Working1Pearl Kogyo F-2000-0.1 RF Filter Module Used Working1SMC XLD-50-X638 Vacuum Angle Isolation Valve bbb 3D80-000326-V1 Used Working10KLA-Tencor 000-3008528 Optical Module CRS 2000 used working1SMC 3D80-002107-V1 High Vacuum Valve XLAQ-40-X925 Lot of 2 Used Working1VAT 62132-KA28-AAH1 Pneumatic Isolation Angle Valve Used Working4MKS Instruments 253B-13264 Exhaust Throttle Control Valve Used Working1Leybold 288 12 Manual Angle Isolation Valve 28812 Used Working1KLA-Tencor CRS 2000 Power Supply working2AMAT Applied Materials 0270-01156 Robot Calibration Tool 300mm E-Chuck New6Axcelis 075342 RF Detector Module RF-2 Used Working1Axcelis 075342 RF Detector Module RF-2 Used Working1AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. C Used1AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITC Rev. D As-Is1Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-005 Used11Asyst 04290-101 Load Lock Elevator GaSonics 94-1119 Hine Design 06763-805 Used1Hine Design 853-4290-001 Load Lock Elevator GaSonics 94-1119 Used Working1Asyst 04290-101 Load Lock Elevator Rev. 0 GaSonics 94-1119 Hine Design Used2Asyst 04290-101 Load Lock Elevator Rev. 1 GaSonics 94-1119 Hine 06763-005 Used1GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. E Used Working5GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. E Used Working2GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. C Used Working1GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. C Used Working1GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. J Used Working1GaSonics A90-031-03 PLASMA/LAMP Failure Detection PCB Rev. H Used Working2Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-005 Used7Asyst 04290-201 Process Chamber Elevator GaSonics 94-1118 Hine 06763-805 Used2Hines Design 853-4290-002 Process Chamber Elevator GaSonics 94-1118 Used Working1Asyst 04290-201 Process Chamber Elevator Rev. 0 GaSonics 94-1118 Used Working1GaSonics A95-219-01 Wafer Cooling Station 200mm End Effecter Used Working7VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve Used Working4VAT 65048-JH52-ALJ1 Throttling Pendulum Vacuum Gate Valve 1235154 Used Working1bbb Tokyo Electron T-3044SS Circuit Breaker Module 3D93-M50268-V1 Used Working1Oriental Motor PH268M-E1.5 2-Phase Stepping Motor Lot of 2 Used Working1CKD FGL11-4RM-32-015 Vacuum Break Valve Used Working2Diavac Limited LCAV-25H Pneumatic Angle Valve MRC Eclipse Star Used Working3Hitachi BBB5-01 Pin Out PCB Board M-712E Shallow Trench Etcher Used Working1Hitachi BBBA-02 Backplane PCB Board M-712E Shallow Trench Etcher Used Working1Hitachi BBMF-01 Backplane PCB Board M-712E Shallow Trench Etcher Used Working1GE Fanuc Series 90-30 PLC 5-Slot Controller IC693CPU313V Used Working2Yamatake HPB-D1 Photoelectric Sensor Lot of 2 Used Working1Kuhnke 690.620.11.00 PROFIBUS I/O 8IN/8OUT PLC Unit New1Fuji Electric BU-ESB3050 Circuit Breaker 50 AMP Lot of 2 Used Working1GE Fanuc Base 10-Slot EMI Enhanced PLC IC693CH5391 Lot of 4 Used Working1GE Fanuc IC693MDL645 bbbbb Module 24VDC Lot of 4 Used Working1Daihen AGA-27C-V RF Generator HFA-30A-V bbb 3D80-000825-V3 Used Tested Working2Turbo-v450 Varian 969-9542 Turbomolecular Vacuum Pump Controller Used Working1bbb Tokyo Electron 848 TCP Transition Chill Plate Left ACT12 Incomplete As-Is1bbb Tokyo Electron 2985-429208-W4 ADH Adhesion Module 847 ACT12 Damaged As-Is1Ultratech Left Power Supply Assembly 2244i Photolithograph System Used Working2Ultratech Right Power Supply Assembly 2244i Photolithography System Used2Ultratech Stepper Temperature Controller Module RKC REX-F700 2244i Used Working2Ultratech Stepper Temperature Controller Module RKC REX-F700 224i As-Is2Haskris Company R050 Recirculating Chiller R-Series Display Not Working As-Is1Fujikin FCS-4WS-798-F160#B Mass Flow Controller MFC FCS Ar Used8Gespac GESPIA-2A 8835 PCB Card PIA-2A OnTrack DSS-200 Used Working12Opto 22 PB24Q Relay Board PCB ODC5Q 6 OnTrak DSS-200 Used Working2Opto 22 PB24Q Relay Board PCB ODC5Q 4 OnTrak DSS-200 Used Working2Gespac GESDAC-2B-8945 PCB Card DAC-2B OnTrack DSS-200 Used Working2Gespac GESADC-12A 9310 PCB DAQ Module Card ADC-12A OnTrack DSS-200 Used Working2Gespac GESICU-2A 8549 PCB Inteconnect Card ICU-2A OnTrack DSS-200 Used Working2Lam Research 282858802 PCB Inbbbligent Stepper Card OnTrak DSS-200 Used Working1OnTrak Systems 28-0075-011 PCB Inbbbligent Stepper Card DSS-200 Used Working7Lam 12-1000-017 Dual DC Motor Controller PCB Card Rev. C OnTrak DSS-200 Used1Lam 12-1000-017 Dual DC Motor Controller PCB Card Rev. D OnTrak DSS-200 Used2OnTrak Systems 12-1000-017 Dual DC Motor Controller PCB Rev. B DSS-200 Used1OnTrak Systems 22-1000-006 DSS Interface PCB DSS-200 Remote Module Used Working1OnTrack Systems 22-1000-006 DSS Interface Robot Relay PCB DSS-200 Remote used1Lam Research 22-5100-004 Isolated I/O Board PCB OnTrack DSS-200 Used Working1Asyst 9700-5819-01 Robot Controller CMS II Rev. 4 ASM Epsilon 3000 Used Working1Asyst Technologies 05050-017 Wafer Pre-Aligner Model 5 Used Working1Asyst Technologies 05050-017 Wafer Pre-Aligner Model 5 Used Working1Minarik 507-01-106 DC Gearmotor Bison 220C-PU Reseller Lot of 2 Used Working1bbb Tokyo Electron E208-000021-11 Ghost Port PCB Card E2B401/UMC Lithius Used7bbb Tokyo Electron E208-000021-11 Ghost Port PCB Card E2B401/UMC Lithius Used1bbb Tokyo Electron HA-014 CONN SPIN #02 PCB Board Lithius Used Working13bbb Tokyo Electron APC-T0041A-11 CONN AMC #01 PCB Board Lithius Used Working9Taiyo Machinery NP8148Q010-1 PCB Board 12SCRA X bbb Tokyo Electron Lithius Used1bbb Tokyo Electron HA-018 CONN SPIN #04 PCB Board Lithius Used Working1MKS Instruments EPCA-24592 2-Channel Controller Card bbb Lithius Used Working1Sanyo Denki PMDPC1S3P10 PM Driver PRS-4719 bbb Lithius Reseller lot of 2 Used6bbb Tokyo Electron CPC-T0033A-12 IO CSB Board HA-012 Assembly Lithius Used10Panasonic MSMA08252N AC Servo Motor Y AXIS CRA Assembly bbb Lithius Used10Tamagawa TA3420N Track Ball TA3420N4103 bbb Tokyo Electron Lithius Used Working5Tamagawa TA3420N Track Ball TA3420N4103 bbb Tokyo Electron Lithius Used Working3Meiden UP012/002A Battery Module bbb Tokyo Electron Lithius Used Working1Meiden UP004 Battery Module bbb Tokyo Electron Lithius Used Working1Hitachi HJ-7500-60EED Career Station Block Computer HF-W75F DVI bbb Lithius Used2bbb Tokyo Electron E244-000048-11 PCB E2B302/NUEC E281-000042-11 Lithius Used2Yamatake EMT0850C05KXXT0 LCD Monitor bbb Tokyo Electron EC80-000188-11 Used8KV Automation 4022.486.23731 Gas Supply Module ASML Used Working1KV Automation 4022.486.23731 Gas Supply Module ASML Used Working1KV Automation 4022.486.21591 Robot Gripper Manifold ASML Used Working1bbb Tokyo Electron Clean Track Lithius Operation Manual Set Used1Komatsu 20001920 Thermo Module MCU-02TM bbb Lithius Interface Block IRAM Used1Omron D4GS-N4R Slim Safety Door Switch Lot of 4 Used Working4Omron D4GS-N4R Slim Safety Door Switch D4GS-N4R Lot of 3 Used Working1SMC INR-244-271 Controller Assembly 4TP-1B849 bbb Tokyo Electron Lithius Used12Komatsu BAMA01263 Power Supply PCB Board CADG00143 bbb Lithius Used9Komatsu BAMA01263 Power Supply PCB Board CADG00143 bbb Lithius Used15Komatsu 30005300 Processor PCB Board CADK00360 bbb Tokyo Electron Lithius Used5Komatsu 30005300 Processor PCB Board CADK00360 bbb Tokyo Electron Lithius Used1Komatsu BAMA00191 Power Supply PCB Board CADK00251 bbb Lithius Used Working12Densi-Lambda PWB-793E Power Supply PCB Board bbb Lithius Used Working10Schaffner FN3010-20-46 Three Phase Filter Module bbb Lithius Used Working5ASML Vacuum Gas Module 4022.486.21561 working1Cosel AD960-24 Power Supply Komatsu PCB BAMA01132 bbb Lithius Used Working5Digital HTK2300V Operator Interface Panel Pro-Face bbb Lithius Used Working30Panasonic MSMA102P1H AC Servo Motor bbb Tokyo Electron Lithius Used Working6NSK 51161-802-001 Interface Block Robot IRAM bbb Lithius with End Effector Used1NSK 51161-802-001 Interface Block Robot IRAM bbb Lithius with End Effector Used1NSK 51161-802-001 Interface Block Robot IRAM bbb Lithius with End Effector Used4Komatsu BAMA01180 Power Supply PCB Board CADK00360 bbb Lithius Used9Komatsu BAMA01150 Power Supply PCB Board CADK00330 bbb Lithius Used Working3Komatsu BAMA01170 Power Supply PCB Board CADK00340 bbb Lithius Used3Komatsu BAMA01160 Power Supply PCB Board CADK00340 bbb Lithius Used3ASML Control Card Module 4022.470.7782 working1Komatsu BAMA01140 Display PCB Board CADK00320 bbb Lithius Used Working3SUNX Sensors FX-301 Photoelectric Sensor FX-300 Series Reseller Lot of 10 Used17SUNX Sensors FX-301 Photoelectric Sensor FX-300 Series Reseller Lot of 10 Used2NAiS AY33002 Relay Module RT3S-24V Lot of 3 Used Working2Omron E3X-DA11-5 Photoelectric Sensor Switch Lot of 8 Used Working1Schneider Electric LC1F150 3-Pole Contactor LAD11 LX1FF024 Used Working1Nor-Cal ESVP-1002-NWB Pneumatic Isolation Angle Vacuum Valve Used Working1Nor-Cal AIV-1002-NWB Pneumatic Isolation Angle Valve Used Working1Nichias 5010-202984-11 Spin Chuck bbb Tokyo Electron 5085-411385-1* ACT12 Used33Flowell 60-6.3X4.3U-PS-R Resin Fitting G160531 bbb 028-017546-* Lot of 9 New1ATMI SM4BKAFG-050812 Photo Resist Dispenser bbb Tokyo Electron Lithius Used2Mitsubishi NF50-3WU3 050 Circuit Breaker NF50-SWU Reseller Lot of 4 Used Working9Mitsubishi NF50-3WU3 030 Circuit Breaker NF50-SWU Reseller Lot of 5 Used Working2Mitsubishi NF50-3WU2 015 Circuit Breaker NF50-SWU Reseller Lot of 5 Used Working2Cosel LDA15F-24 Compact Power Supply PCB LDA15F Used Working3Schlumberger Systems Servo Interface PCB Board Rev. A Used Working2Schlumberger Systems 504-000 DC Motor Driver 3012-504-001 Used Working1Pacific Scientific SC402-010 Servo Controller Lot of 4 for Repair As-Is1Pacific Scientific SC403-002 Servo Controller 105-040300-01 Used Working1IMS Electra COM 3 High Pin Count Test Station GPIB/ETHERNET PCB Card Used1Integrated Measurment Systems 100-0092-001B XL Terminator PCB Lot of 2 Used1IMS Electra 120-0280-031 ATS100 Datamodule PCB Card 100-0280-001 Used Working11IMS Electra 120-0280-021 ATS100 Datamodule PCB Card 100-0280-001 Used Working9IMS Electra 120-0280-801 ATS100 Datamodule PCB Card 100-0280-001 Used Working1IMS Electra 650-0095-101 PCB Card Rev. A 300-0271-000 Used Working1IMS Electra 120-0292-502 Blazer 2 Controller PCB Card 100-0292-002 Used Working1IMS Electra 120-0088-004 Timing Module PCB Card 100-0088-004 Used Working2NSK E043ZZIF1-012B PCB Board Z-I/F bbb Tokyo Electron Lithius Used Working10Tescom 44-3213H282-296 Manual Pressure Regulator Swagelok SS-45S8 Used Working1Tescom 44-3213H282-296 Manual Pressure Regulator Swagelok SS-45S8 Used Working1Tescom 44-3213H282-769 Manual Pressure Regulator Swagelok SS-45S8 Used Working1bbb Tokyo Electron Fan Speed Controller Lithius Used Working1SMC INR-244-261 RC Circuilator Pump Assembly bbb Tokyo Electron Lithius Used16SMC INR-244-261 RC Circuilator Pump Assembly bbb Tokyo Electron Lithius Used6SMC INR-244-261B RC Circulator Pump Assembly bbb Tokyo Electron Lithius Used1Ogura Clutch RNB 0.8G Electromagnetic Spring Applied Brake bbb Lithius Used6bbb Tokyo Electron G140130 IRA Plate Assembly New1Mitsubishi CP30-BA Circuit Protector 3-Pole 30A Reseller Lot of 10 Used Working1Mitsubishi CP30-BA Circuit Protector 3-Pole 2A Reseller Lot of 10 Used Working1Fuji Electric CP33F3/20 Circuit Protector Reseller Lot of 8 Used Working1Fuji Electric CP32PS/7.5 Circuit Protector Reseller Lot of 12 Used Working1Schaffner FN3010-20-46 Three Phase Filter Module Lot of 2 bbb Lithius Used3Mitsubishi NF50-SWU3 030 Circuit Breaker NF50-SWU Reseller Lot of 4 Used Working1Mitsubishi NF50-SWU2 015 Circuit Breaker NF50-SWU Reseller Lot of 4 Used Working1Lam Drive Arm Assy. 12123-1-C-01441057 working1Hitachi HMB632-JUS Keyboard bbb Tokyo Electron Lithius Used Working4Vicor MX5-412500-23-EL Power Supply 4kW MegaPac 97121008 Used Tested Working1Vicor MX5-48051-33-EL Power Supply 4kW MegaPac 97961120 Tested Low Output As-Is1Vicor MX5-410507-33-EL Power Supply 4kW MegaPac 97121010 Used Tested Working1Vicor MX1-410517-33-EL Power Supply 4kW MegaPac 97921754 Used Tested Working1Vicor MX2-45501-32-EL Power Supply 4kW MegaPac 9712336 Used Tested Working1Vicor MP8-49501-22-EL Power Supply 4kW MegaPac 97123194 Damaged Modules As-Is1Vicor MXB-48051-33-EL Power Supply 4kW MegaPac 97961120 Tested Low Output As-Is1Vicor MXB-410511-33-E?L Power Supply 4kW MegaPac 97921756 Tested Low Output As-Is1Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 Lot of 3 For Repair As-Is1AMAT Applied Materials 0150-13386 Interface Cable P2 XFER-LCF MF I/O New1Edwards NGG058000(P) DWG Interface Cable NGG058010 Lot of 4 New1Turck WSC WKC 572-2M/C1126 DNET Cable 2 Meter AMAT 0620-02366 New1Edwards U20001356 Cable Harness 16-Pin to 16-Pin Lot of 2 New1Inficon 600-1008-P15 Vacuum System Power Supply Extension Cable New1Edwards U20000721 4-Pin Molex to Photohelic Cable Assembly B3451 New2Leybold 72127746 RCU LCU System Controller Cable 50 Foot 721-27-746 New1Edwards U20000447P Gate Valve to Pump Cable Assembly 19.5 Foot Used Working2Edwards U20001370 Pump to Pump Cable Lot of 2 Used Working1CKD AMD01-8BUR-04-TC Pneumatic Valve Photoresist Lot of 10 bbb Lithius Used22CKD AMD01-8BUR-04-TC Pneumatic Valve Photoresist Lot of 10 bbb Lithius Used8CKD MD01-8BUR-04-TC Pneumatic Valve Photoresist Lot of 5 bbb Lithius Used1Kognei F-AVP070-23W Pneumatic Valve Photoresist Lot of 10 bbb Lithius Used21Kognei F-DAVP070-23W Pneumatic Valve Photoresist Lot of 10 bbb Lithius Used9Fujikura RA-TKER-2-1(A) Manual Pressure Regulator Lot of 4 bbb Lithius4Fujikura RA-TKER-2-1(A) Manual Pressure Regulator Lot of 3 bbb Lithius3Mitutoyo 378-802-6 Motorized Microscope bbbbbbive Navitar 1-51170 1-6015 Used1TMC DC-2000 Precision Valve Controller Rev. C Used Working1Ultratech 01-18-03903 Power Supply Stage Driver Used Working1Rasco Prism Purge SOW Blower Assembly Used Working1Edwards B58120000 Vibration Isolator Bellows SS DN100 ISO100 EXT Pump Used2SCU Seiko Seiki SCU-301 Turbomolecular STP Control Unit Used Tested Working1SCU Seiko Seiki SCU-H2001K2 Turbomolecular Pump Control Unit Used Tested Working1bbb Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius5bbb Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius5bbb Tokyo Electron OYDK-017A IO P-CHEM #01 OYDK-018B PCB Assembly Lithius3IMS Electra 121-0280-031 ATS100 Datamodule PCB Card 100-0280-001 Used Working1Oriental Motor EM596-NAE-A3 5-Phase Stepping Motor Vexta Used Working1Oriental Motor EM596-NAE-A3 5-Phase Stepping Motor Vexta Used Working1KLA-Tencor Archer XT+ Power Distribution Assembly 0017522-000 Used Working1Ultratech Stepper 03-15-02049 WAS BREAKOUT Board PCB Used Working1Ultratech Stepper 03-20-02567-01 PMT AMP M2000 PCB Board Used Working2Ultratech Stepper 01-18-01818-01 Optics Assembly 2244i Photolithography Used1Miki Pulley BXH-12-10-A-20 Spring Actuated Brake Motor bbb Lithius Used Working3Miki Pulley BXH-12-10-A-20 Spring Actuated Brake Motor bbb Lithius Used Working13Miki Pulley BXH-12-10-A-20 Spring Actuated Brake Motor bbb Lithius Used Working2Yaskawa SGMAS-12ABA-TE11 AC Servo Motor with Nabtesco GH7-11 Gearhead bbb Used12Varian Pump to Chamber Flange Turbo-V 300HT ISO100 ISO-K AMAT VeraSEM Used6TMP Shimadzu EI-3203MD Turbo Molecular Pump Controller 1.8K Tested Used Working2Densi-Lambda PWB-793F Power Supply PCB Board bbb Lithius Used Working1bbb Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working5bbb Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working6bbb Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working16bbb Tokyo Electron OYDK-057 CONN ANALOG #02 PCB Board Lithius Used Working4bbb Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working5bbb Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working6bbb Tokyo Electron OYDK-058 CONN ANALOG #03 PCB Board Lithius Used Working5bbb Tokyo Electron OYDK-05S ANALOG PT #02 PCB Board Lot of 4 Lithius Used8bbb Tokyo Electron OYDK-05S ANALOG PT #02 PCB Board Lot of 4 Lithius Used7bbb Tokyo Electron OYDK-053 ANALOG 1-5 #02 PCB Board Lot of 3 Lithius Used6bbb Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working8bbb Tokyo Electron OYDK-059 IO CHEM #02 PCB Board Lithius Used Working10bbb Tokyo Electron OYDK-062 CONN CHEM #02 PCB Board Lithius Used8Oriental Motor CSD5807N-P-A37 Servo Driver Vexta Lot of 2 bbb Lithius Used5Yamatake CMW200A102 Process Controller CMW Lot of 4 Used Working8Sunx DP4-50Z Compact Digital Display Pressure Sensor DP4 Series Lot of 10 Used3Sunx DP4-50Z Compact Digital Display Pressure Sensor DP4 Series Lot of 5 Used1Sunx DP4-50Z Compact Digital Display Pressure Sensor DP4 Series Lot of 5 Used1Sunx DP4-52Z Compact Digital Display Pressure Sensor DP4 Series Lot of 3 Used1Krone KS-3000-500Pa-V1 Differential Pressure Manometer Reseller Lot of 5 Used6Sunx DP4-52Z Compact Digital Display Pressure Sensor DP4 Series Lot of 3 Used2Sunx DP4-52Z Compact Digital Display Pressure Sensor DP4 Series Lot of 3 Used6Yaskawa SGMAS-A5ABA-TE11 AC Servo Motor bbb JZSP-CSP01-TE11 JZSP-CSM01-TE11 Used3Yaskawa SGMAS-A5ABA-TE11 AC Servo Motor bbb JZSP-CSP01-TE11 JZSP-CSM01-TE11 Used3Yaskawa SGMAS-A5ABA-TE11 AC Servo Motor bbb JZSP-CSP01-TE11 JZSP-CSM01-TE11 Used9Yamamoto MS61ALV120D Pressure Switch Assembly Manostar FR51 bbb Lithius Used21SMC LVA20-02-B Fluoropolymer Valve Reseller Lot of 10 bbb Lithius Used Working3Yamamoto MS61ALV300D Differential Pressure Switch Lot of 24 bbb Lithius Used1Opal 50317890000 SMC-Micro Board PCB Card Used Working2Opal 70417880100 SMC-RS232 Card PCB AMAT Applied Materials Used Working4Opal 70411560000 VCR/SMC Relay Board PCB AMAT Applied Materials Used Working4Nemic-Lambda RWS30A-5 Power Supply PCB Card YM-95-774 Used Working4Lambda PA-3N-4-6SG-001 AC/DC Power Supply PCB Card Used Working4EBM Papst 505-3004 System 3000 24&48V Fan Monitor V2.0 PCB Assembly Used1AMAT Applied Materials 0100-91146 ARC Interrupt PCB Board 0020-53074 Used4Edwards NGW415000 Pneumatic Gate Valve Used Working1AMAT Applied Materials 0100-91104 Vacuum Gauge RJ45 CONN I/F PCB 0120-93712 Used3AMAT Applied Materials 0100-91104 Vacuum Gauge RJ45 CONN I/F PCB 0120-93712 Used15Granville-Phillips 009614-101 330 Display Board PCB 9615-101 Used Working1Granville-Phillips 009001-108 Ionization Gauge Electrometer Module Used Working1Granville-Phillips 009592-109 330 Power Board PCB Module Used Working1AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used1AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used4AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used5AMAT Applied Materials 0100-01676 DECEL/FOCUS PSU Interface PCB Card Used1AMAT Applied Materials 9090-00314 daq MkII type H Used Working1AMAT Applied Materials 0100-90385 Contactor Drive PCB Card No Face Used Working1AMAT Applied Materials 0100-01884 Ave Voltage Control PCB Card Used Working1Integrated Power Designs SRW-45-4004 Power Supply WSR45UM44-C Lot of 2 Used1Integrated Power Designs SRW-14-4004 Power Supply WSR45UM44-C Lot of 5 Used9Astec LPS25 Switching Power Supply XP Power KM25US24 Reseller Lot of 17 Used1Vicor VI-LU3-EV AC/DC Switcher FlatPAC 24 Volts DC 150 Watts Used Working3Vicor VI-LU3-EV AC/DC Switcher FlatPAC 24 Volts DC 150 Watts Used Working1Vicor VI-LUL-CY AC/DC Switcher FlatPAC 28 Volts DC 50 Watts Used Working3Vicor VI-LUL-CY AC/DC Switcher FlatPAC 28 Volts DC 50 Watts Used Working3Vicor VI-MU4-ES AC/DC Switcher FlatPAC 48 Volts 300 Watts Used Working3Vicor VI-MU4-ES AC/DC Switcher FlatPAC 48 Volts 300 Watts Used Working1AMAT Applied Materials AM9090-00923ITL Processor Vacuum Control Chassis Used1HVA High Vacuum Apparatus 22211-0210 Pneumatic Slit Valve used working1CTI-Cryogenics 8113211G001 Goldbbbb Support Communications Unit EM-S300W Used1CTI-Cryogenics 8113211G001 Goldbbbb Support Communications Unit EM-S300W Used9DIP Incorporated 15029308 DIP293 PCB Board AWAT 9090-00273 Used Working1DIP Incorporated 15029208 DIP292 PCB Board 1502908-D AWAT 9090-00273 Used1Power-One LWN 2660-6 AC-DC/DC-DC Converter DIN Rail Power Supply Used Working4CFT-75 Neslab 395104041507 Refrigerated Recirculator Used Tested Working3CTI-Cryogenics 0500-00277 On-Board IS Controller Rack Mount Panel AMAT Used1Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-01265ITL Used Working1Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-00473ITL Used Working2AMAT ES30712310000 Internal Power Distribution Unit Main PDU SEMVision Used4Arcom Control Systems PC-COM4 RS232 Communications PCB Card Used Working3SST Woodhead 5136-DNP-PCI DeviceNet Pro PCI Adater PCB Card Used Working1A-B Allen-Bradley 1404-DM Powerminitor 3000 Display Used Working1Brooks 200mm Prealigner Chamber 10600-10 working1Miki Pulley BXH-12-10-A-20 Spring Actuated Holding Brake Used Working1Omron F35-A Safety Light CurtainSet of 2 F35-A482-D F35-A482-L Used Working5Omron F35-A482-D Safety Light Curtain F35-A Used Working1Nikon 45013-448 PCB Board Assembly IRC-A-PCB TEC VDJB0013203 Used Working2Iwaki HPT-106-2 Photoresist Tubephragm Pump PHDC11H11B Filter bbb Lithius Used22AMAT Applied Materials 0100-00925 I/O Processor Sensor PCB Card Used Working3AMAT Applied Materials 0100-01487 PSD Board GRIPPER IN LL PCB Card Used Working2AMAT Applied Materials 0120-01139-S1 PSD Board GRIPPER IN LL PCB Card Used4AMAT Applied Materials 0120-98079-S1 I/O Processor Sensor PCB Card Used Working6AMAT Applied Materials 0100-91032 PSU Micro Discharge Issue B PCB Board Used4AMAT Applied Materials 0100-91032 PSU Micro Discharge Issue C PCB Board Used9AMAT Applied Materials 0100-90875 Suppression Logic Issue D PCB Board Used13bbb Tokyo Electron TPC-T0037A-11 CONN PRA THETA #01 PCB Board bbb Lithius Used5bbb Tokyo Electron HTE-0V3-E-15 HP #01 HTE-0C3-C-13 PCB Board Lithius Used21KLA-Tencor Quantox GSI Scanner PCB 3002004 working1AMAT Applied Materials 0110-01139 PSD Board E-CHUCK PCB Card Used Working11AMAT Applied Materials 0110-01139 PSD Board BEAM ALIGN PCB Card Used Working6AMAT Applied Materials 0100-01697 DECEL/FOCUS PSU Interface ISS. A PCB Card Used1AMAT Applied Materials 0100-01697 DECEL/FOCUS PSU Interface ISS. B PCB Card Used1AMAT Applied Materials 0100-01735 EVR Gap Servo PCB Card Used Working1AMAT Applied Materials 0100-01734 EVR Lateral Servo PCB Card Used Working3AMAT Applied Materials 0100-01950 ARC Interrupt A PCB Board 0020-53074 Used6AMAT Applied Materials 0100-01691 Gas Interlock 10 Torr SDS PCB Card Used50AMAT Applied Materials 0100-02195 Gas Interlock 10 Torr SDS PCB Card Used9GSI General Scanning Inc. Lumonics 311-15593-1 Control PCB KLA-Tencor Used1AMAT Applied Materials 0100-00985 HP Gas Interlock 10 Torr SDS PCB Card Used1Oriental Motor CSD5807N-P-A37 Servo Driver Vexta Lot of 4 bbb Lithius Used10Oriental Motor CSD5807N-P-A37 Servo Driver Vexta Lot of 4 bbb Lithius Used9bbb Tokyo Electron XPC-T0045A-11 CONN PRA X #02 PCB Board TOB1045 Lithius Used4bbb Tokyo Electron OYDK-055 ANALOG PT #02 PCB Board Lithius Used Working5bbb Tokyo Electron OYDK-053 ANALOG 1-5 #02 PCB Board Lithius Used Working49bbb Tokyo Electron HTE-MC1-B-12 CONN HPI #01 Board TMB2001 PCB Lithius Used1bbb Tokyo Electron HTE-MC2-B-12 CONN HPB #01 TMB2101 PCB Board Lithius Used1bbb Tokyo Electron Top IC Plate Cup Washer Holding Stage Lithius Used Working9bbb Tokyo Electron Top IC Plate Cup Washer Holding Stage Lithius Used Working10Cosel LEA100F-24-Y Switching Power Supply XP Power CPA100PS24-C Lot of 3 Used5Komatsu 20001900 Circulating Pump MCE-83WS bbb Lithius No Connector Used Working9bbb Tokyo Electron 5010-406593-11 TRS Plate CWH Lithius Used Working2AMAT Applied Materials 1080-90120 Exchange Arm Motor Assembly 0021-06737 Used1Asyst 9700-6584-01 Advan Tag RFID Render ATR-9000 with 9700-6224-01 Sensor Used1Carl Zeiss 45 74 92 Microscope System AF Controller 457492 Used Working1Asyst 9700-6209-01 Power Distribution Center ASM Epsilon 3000 Used Working1EDCO Technologies 1SU41006 Phantom REMOTE-PCI-V.6 5BO20106 PCB Card Used Working1EDCO Technologies 1SU41008 Phantom REMOTE-PCI-V.6 5BO20106 PCB Card Used Working1AMAT Applied Materials EA 30816830 SBC_RST Board PCB Opal Used Working1Yaskawa JAMMC-SRC03I NOP OM-2 PCB Card DF9200878-B-0 Used Working1Yaskawa JAMMC-SRC01I Automation Control PCB Card JAMMC-SRC02 Used Working1Yaskawa JAMMC-SRC04I DT-DV Circuit Protector PCB Board DF9300878-A0 Used Working1L&H Power 849081.004 Power Supply IM804-1335/115A1 Used Working8RKC Instruments D100F-8N*DN-NN-NN Tempurature Controller REX-D100 Used Working6MDC High Vacuum Adapter Tube Flange Tee ISO160 ISO-F NW16 Used Working3VAT 12044-PA24-1002 Vacuum Gate Valve bbb Tokyo Electron Unity II Used Working2VAT 12044-PA24-1002 Vacuum Gate Valve bbb Tokyo Electron Unity II Used Working1Nikon 4S018-866 PPD3X4 PCB Card NSR-S204B Step-and-Repeat System Used Working1Nikon 4S018-866 PPD3X4 PCB Card NSR-S204B Step-and-Repeat System Used Working1Yasunaga YP-40VC Linear Air Pump bbb Tokyo Electron Lithius Used Tested Working6Yasunaga YP-40VC Linear Air Pump bbb Tokyo Electron Lithius Used Tested Working2bbb Tokyo Electron 5085-405392-12 IRAS Fork Assembly End Effector Lithius Used2Baldor VM3545 3 Phase Industrial Motor Chicago 38-5-1-10 Used Working1bbb Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Used Working2bbb Tokyo Electron TKB7001 IO Spin #02 AP9Z-1521C PCB Board Lithius Used Working2CKD AMC-D2-X1 Valve Control PCB AMC-D2 bbb Tokyo Electron Lithius Used Working6MKS Instruments 622A01TBE Baratron Transducer 1 Torr Used Tested Working1Horiba STEC SEC-4600R Mass Flow Controller 100 SLM N2 Used Working4SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working1SMC CDQ2F140C-J9092-XC11 Pneumatic Cylinder Used Working2Ultratech Stepper 01-15-08204 Utility Panel 2244i Photolithography Used Working1Yaskawa DF9202111-C0 Servo Control PCB Board CLSR-CA-64N2A Used Working2HVA Pneumatic Amray Slit Valve 22211-0210 used working1Yaskawa DF9202827-B0 Power Supply PCB Board CLSR-CD-33N2A Used Working1Yamatake CME8153 Pneumatic Valve N2 Air 10L/min Lot of 13 bbb Lithius Used2Yamatake CME8151 Pneumatic Valve N2 Air 10L/min Lot of 10 bbb Lithius Used83M Fluorinert FC-3283 Fluorinated Electronic Liquid 0.75 Gallon New Surplus4CAE Ultrsonics MSX-24T-208-CLMT Match Unit Used Working2A-B Allen-Bradley 1769-IF4 Compact I/O PLC 2 Channel Analog bbbbb Module Used1Brooks 200mm Wafer Indexer 8600-65BAJ working1Tazmo E0R05-8012A Dual Digit Display PCB Board Used Working1Tazmo E0R05-9538 Driver Process PCB Board 810286311 ASM 510020201 Used Working1PPS Corporation 2D88M Microstep Servo Driver Used Working1PPS Corporation 5D13M Microstep Servo Driver Used Working2AMAT Applied Materials 0100-90077 Thermistor Gauge Controller PCB Card Used2AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working1Edwards NRA226000 IGC Auto Restrike Box Used Working3Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working5Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working6Yaskawa DDMQF-SR22311 System Robot Controller PAC/ELC2 SRC-II 006 Used Working1ET300W Ebara Turbo-Molecular Vacuum Pump Type 1 Used Working1SMC NCDRA1BS100-90 Pneumatic Rotary Actuator Used Working2SMC NCDRA1BS100-90 Pneumatic Rotary Actuator Used Working2bbb Tokyo Electron 3D81-000010-V2 Connector Board PCB TYB614-1/RFPD Used2Robitech 980-4825 Pneumatic Control Valve PCB Card 980-4800 Lot of 10 Used5Robitech 980-4825 Pneumatic Control Valve PCB Card 980-4800 Lot of 10 Used1NTRON C7-01-5124-00-0 Model 5100 O2 Analyzer 5124B-N1 Used Working4AMAT Applied Materials 9090-00979 Electrode Assembly 3X2H Used Working1SMC LX-525A-5BD-30S-A Electric Cylinder Sanyo 103F5508-70XE42 bbb Lithius Used3KLA-Tencor Quantox 64000 #088/KE10600-M Cooling Module used working1Sanyo Denki P20B13100FXSA2 Servo Motor bbb 5087-400478-15 Lithius DEV ASSY Used17Panasonic MFA090HA5NSC AC Servo Motor Assembly bbb Lithius DEV COAT Used Working10Panasonic MFA090HA5NSC AC Servo Motor Assembly bbb Lithius DEV COAT Used Working8CKD AMF-V-X1 Solution Valve bbb Tokyo Electron 5087-400486-15 Lithius DEV Used4CKD AMF-V-X1 Solution Valve bbb Tokyo Electron 5087-400486-15 Lithius DEV Used4CKD AMF-V-X1 Solution Valve bbb Tokyo Electron 5087-400486-15 Lithius DEV Used2Koganei F-AVP125-19W Pneumatic Valve Reseller Lot of 34 bbb Lithius Used1Koganei F-AVP070-6W Pneumatic Valve Reseller Lot of 27 bbb Lithius Used1Koganei F-AVP125-19W Pneumatic Valve Dual Block Lot of 85 bbb Lithius Used1Sanyo Denki 103F5508-70XE42 Stepping Motor StepSyn Lot of 14 bbb Lithius Used2bbb Tokyo Electron 5087-400475-16 Left Arm DEV ASSY Lithius Used Working11bbb Tokyo Electron 5087-400476-16 Right Arm DEV ASSY Lithius Used Working15AMAT Applied Materials 0100-91087 Sol/Fil EXT Interface PCB Card Issue F Used6SMC LG-96A-A1 Linear Actuator 103H7851-70E1 bbb Tokyo Electron Lithius Used4Omron EE-SX770A Photoelectric Switch Sensor Reseller Lot of 22 bbb Lithius Used1AMAT Applied Materials 0100-90650 Wheel Current Board Issue B Used Working4SMC CQSB25-DCG7964G-15 Compact Cylinder Reseller Lot of 8 bbb Lithius Used1AMAT Applied Materials 0100-94078 Argon/Oxygen Bleed and Charge Monitor PCB Used6Koganei F-SAVP070-8W Pneumatic Valve Reseller Lot of 6 bbb Lithius Used Working1CKD N4E0 Series 8-Port Pneumatic Manifold N4E010-MU Lot of 2 bbb Lithius Used3CKD N4E0 Series 13-Port Manifold bbb 5012-000086-11 Lithius Used Working2CKD N4E0 Series 13-Port Manifold bbb 5012-000086-11 Lithius Used Working17Keyence PZ2-41 Photoelectric Sensor PZ2-41 Reseller Lot of 12 bbb Lithius Used1CKD AMC-V-X51-DB AMC Assembly bbb 5012-000067-11 Reseller Lot of 4 Lithius Used5AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Used Working4AMAT Applied Materials 0100-00970 Spin bbbbbb PCB Card Used Working7Hitachi DIO-02N Digital I/O Interface PCB Card Used Working2Hitachi AIO-05N Analog I/O Interface PCB Card Used Working2Hitachi EPD-05N Digital to Analog Converter PCB Card Used Working1TMP Shimadzu TMP-203M Turbomolecular Vacuum Pump Used Working2Ion Systems 5024(e)-CE Emitter Controller 5024 MKS Instruments Used Working8ZTE XMBW R9100 WiMAX Remote Radio Unit RRU 2610~2700Mhz Used Working1Axcelis Microwave Waveguide Assembly GAE GA3107 H3862 Fusion E53 Used Working1Edwards D37280700 Pump Display Terminal Module Controller Used Working20Edwards D37280700 Pump Display Terminal Module Controller Used Working1Opal 50312640100 ASA Assembly AMAT Applied Materials Used Working1A to Z Electronics 50312640000 ASA Assembly AMAT Applied Materials Used Working1A to Z Electronics 50312640000 ASA Assembly AMAT Applied Materials Used Working4Hitachi HT94219A Processor PCB Card PI01 Ver. A Used Working4Blue M OV-560A-2 Stabil-Therm Constant Temperature Cabinet Used Tested Working1Tokyo Keiso SFC-M Flowmeter Signal Converter Controller bbb Lithius Used Working19Tokyo Keiso SFC-M Flowmeter Signal Converter Controller bbb Lithius Used Working14Tokyo Keiso SFC-M Flowmeter Signal Converter Controller bbb Lithius Used Working18Hitachi Wafer Alignment Unit Vacuum Chuck M-712E Shallow Trench Etcher Used1Panasonic LD-601 Laser Line Sensor Sunx Hitachi M-712E Used Working1Panasonic LD-601 Laser Line Sensor Sunx Hitachi M-712E Used Working1KLA-Tencor 760-063145-00 Noncontact Measuring System Kaman SMU 9000-15N Used1bbb Tokyo Electron MA15605B SW-PANEL LED PCB FAP-1601-1202-0BF Lot of 5 Used5bbb Tokyo Electron HA-009 EXT DIO #02 PCB PHA-009-0 Lithius Used Working11bbb Tokyo Electron HA-009 EXT DIO #02 PCB PHA-009-0 Lithius Used Working1bbb Tokyo Electron OYDK-063 CONN ADH CHEM #02 PCB Board Lithius Used11bbb Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Used Working20bbb Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Used Working1Fujikin UPCM-4WS3-C13LF20-B1-HE Pressure Controller UPC 13.33kPa Used Working2MKS Instruments 123714-G1 GBROR InSitu Flow Verifier GBR1B24CR1 Used Working1MKS Instruments 41A12DGA2BA010 Baratron Pressure Switch Used Working1Daihen AMN-30F-V RF Auto Matcher bbb Tokyo Electron 3D80-000142-V8 Used Working5Daihen AMN-30F-V RF Auto Matcher bbb Tokyo Electron 3D80-000142-V8 Used Working1Koganei F-AVP070-4W Pneumatic Valve Reseller Lot of 67 bbb Lithius Used1Koganei F-AVP070-3W Pneumatic Valve Reseller Lot of 72 bbb Lithius Used1OnTrak Systems 12-1000-002 Dual DC Motor Controller PCB Card DSS-200 Used1OnTrak Systems 12-1000-017 Dual DC Motor Controller PCB Card DSS-200 Used1Lam Research 12-1000-002 Dual DC Motor Controller Rev. E PCB Card DSS-200 Used1Gespac MEM-12D PCB Card GESMEM-12D 9602 OnTrak DSS-200 Used Working1OnTrak 22-1000-006 DSS Interface 22-5100-004 SMIF-ARM PCB Board DSS-200 Used1Reliance Electric 0042-6637 PWM Servo Drive 0016-6458 OnTrak DSS-200 Used1Sola 86-24-310 Component Type Custom Rectifier 264-37254-0200 OnTrak DSS-200 Used1Todd Products SC48-8F Power Supply 69302230 OnTrak DSS-200 Used Working1Digital Power USC150-401 Power Supply OnTrak DSS-200 Used Working1SMC PF2W504-03-1 Water Flow Switch Assembly Reseller Lot of 15 Used Working3SMC PF2W200-M Flow Switch 4-Channel Reseller Lot of 23 Used Working1CKD N3E0660-M Solenoid 2-Valve Manifold Reseller Lot of 9 N4EO Used Working4SMC VXA2 131 Air Operated Valve Assembly Reseller Lot of 2 Used Working19SMC CDQSB16-75DC Pneumatic Air Cylinder JB16-4-070 Lot of 8 Used Working7Savillex 1000 ML Chemical Vessel bbb Tokyo Electron Lithius Used Working20Oriental Motor UDX5114N-E 5-Phase Servo Driver Super Vexta Used Working1Panasonic ADKA100BPTADA AC Servo Drive Unit Used Working3AMAT Applied Materials 0040-55456 300mm Quartz Bell Jar 633007491-F Copper Used1Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working4Nikon 4S015-227 Processor Control Board PCB NK8601A Used Working2Nikon 4S018-776 Y Axis Interface Board Y_I/F PCB Used Working1Nikon 4S018-775-1 X Axis Interface Board X_I/F PCB Used Working1Nikon 4S019-029-1 Prealigner 1 Interface Board PRE1_I/F PCB Used Working1Novellus 26-167697-00 I/O Interface Gamma 2130 PCB 03-167697-00 Used Working1bbb Tokyo Electron 3D05-450040-V1 Glass Base bbbbbb-PF 3D05450040V1 New2Koganei F-AVP070-4W Pneumatic Valve Reseller Lot of 8 bbb Lithius Used1Savillex 1000 ML Chemical Vessel No Mounting Bracket bbb Lithius As-Is1bbb Tokyo Electron 504W Reservoir Tank Flow Control Assembly Lithius Used2bbb Tokyo Electron 509D Reservoir Tank Flow Control Assembly Lithius Used1CKD SCPD2-L1655 Pneumatic Cylinder Reseller Lot of 8 bbb Lithius Used Working1Nagano Keiki GC30-111 Pressure Sensor Reseller Lot of 3 Used Working1Tokyo Keiso UCUF-04B/ZT Pump Assembly Reseller Lot of 30 bbb Lithius Used2Tokyo Keiso UCUF-06B/ZT Pump Assembly Reseller Lot of 30 bbb Lithius Used2bbb Tokyo Electron 3D05-450039-V1 Glass Base bbbbbb-P- 3D05450039V1 New2Matsush*ta Electric AIGT3100B 5.7" NAiS Programmable Display GT30 Used Working1Nikon 4S008-152 Isolated DC/DC Converter Board PCB PRE2 PWSEP Used Working1Philips 4022-192-70585 DCN/SD PCB Card FEI Micrion Used Working1Nikon 4S018-792- Processor Board PRE2 I/O-2 PCB 4S018-792-D Used Working1Nikon 4S018-869 Processor Board PRE2 I/0-1A PCB Used Working2Nikon 4S018-766 Driver Interface Board PCB IU-DRV5 H=10.0mm Used Working1Nikon 4S018-766 Driver Interface Board PCB IU-DRV5 H=10.0mm Used Working1Nikon 4S013-310 Interconnect Fuse Board PCB BLX3 Used Working1KLA-Tencor 0041186-003 AIT UV 2D PSF DRVR Board PCB Rev. AA Used Working4Agilent 10890-68002-01-0305-00609 Dual Laser Axis VME PCB Card 10898A Used1Tokyo Keiso UCUF-06B/ZT Pump Assembly UCUF-04B/ZT Lot of 13 bbb Lithius Used1Pall PHDC1H23 Photoresist Filter PhotoKleen Lot of 3 New1Pall PHDC1H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working15Pall PHDC1H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working95Pall PHDC2H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working8Pall PHDC2H23 PhotoKleen Photoresist Filter Dummy Capsule Used Working33CKD PMM20-8BUR-HG-TC Pneumatic Valve Photoresist Lot of 11 bbb Lithius Used5CKD PMM20-15BUR-HG-TC Pneumatic Valve Photoresist Lot of 7 bbb Lithius Used1CKD PMM20-15BUR-HG-TC Pneumatic Valve Photoresist Lot of 7 bbb Lithius Used4CKD TMD002-8BUR-TC Pneumatic Stop Valve Reseller Lot of 8 bbb Lithius Used15CKD TMD002-8BUR-TC Pneumatic Stop Valve Reseller Lot of 8 bbb Lithius Used1Surpass MV-1/4F60Y Pneumatic Stop Valve Reseller Lot of 8 bbb Lithius Used2SIIG JJ-P04621-S7 4-port Multiport Serial Adapter P030-62 Used Working4Chamber Perbbbbance Services 633005408-F Aluminium Shield Copper Exposed Used1Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Y-LB Used Working1Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Z-LF Used Working1Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Z-RF Used Working1Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Z-RB Used Working1Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Y-RB Used Working1Mitsubishi MR-J2S-10B-S087 AC Servo MELSERVO bbb Tokyo Electron PR300Z Used8Mitsubishi MR-J2S-20B-S087 AC Servo MELSERVO bbb Tokyo Electron PR300Z Used12Digital UF-EXX01-bbb1 Flat Panel Extender Unit bbb PR300Z Used Working2Mitsubishi MELSEC-Q PLC Control Assembly bbb Tokyo Electron PR300Z Used Working3Medien UA026/814Z System Control Computer bbb Tokyo Electron PR300Z Used Working2bbb Tokyo Electron 1B80-002389-11 DN Board Assembly DI80D080 PR300Z Used Working2Mitsubishi Q173DV PLC SSCNET Channel Distribution PCB bbb PR300Z Used Working1Komatsu Electronics 20000310 Temperature Controller AIC-7-6-T3 Used Working3Komatsu Electronics 20000310 Temperature Controller AIC-7-6-T3 Used Working6Komatsu Electronics 20000310 Temperature Controller AIC-7-6-T3 Used Working4bbb Tokyo Electron Cassette Loader Assembly PR300Z Carrierless BEOL Used Working3Mitsubishi MR-J2S-60B-S087 AC Servo MELSERVO bbb Tokyo Electron PR300Z Used3Mitsubishi MR-J2S-350B-ED087 AC Servo MELSERVO bbb PR300Z Copper Exposed Used4Fuji Electric FRN2.2E1S-2J Inverter FVR-E11 bbb Tokyo Electron PR300Z Used1Omron S8T-DCBU-01 DC Backup Block Power Supply Set S8TS-06024 bbb PR300Z Used3SUNX Sensors FX-301-F7 Photoelectric Sensor FX-300 Reseller Lot of 10 Used2SUNX Sensors FX-301-F7 Photoelectric Sensor FX-300 Reseller Lot of 10 Used2Keyence FS-V21R Digital Amplifier Fiberoptic Sensor Reseller Lot of 5 Used3Keyence FS-V21R Digital Amplifier Fiberoptic Sensor Reseller Lot of 5 Used7Fujikura RP-TKER-4-2(B) Manual Pressure Regulator Lot of 4 bbb Lithius Used1Fujikura RP-TKER-4-2(B) Manual Pressure Regulator Lot of 4 bbb Lithius Used6Fujikura RP-TKER-4-2(B) Manual Pressure Regulator Lot of 3 bbb Lithius Used1Pall PHDC11H11B PhotoKleen Photoresist Filter Assembly Lot of 3 bbb Lithius Used3Granville-Phillips Convectron Gauge Cables 1130840011Yamatake CME0451 Pneumatic Valve N2 Air 20L/min Lot of 11 bbb Lithius Used1bbb Tokyo Electron Chemical Vessel Assembly Clean Track Lithius Used Working3CKD N4E0 Series 14-Port Pneumatic Manifold N3E0660-M bbb Lithius Used Working10CKD N4E0 Series 9-Port Pneumatic Manifold N3E0660-M bbb Lithius Used Working10CKD N4E0 Series 6-Port Pneumatic Manifold N3E0660-M Lot of 2 bbb Lithius Used5CKD N4E0 Series 10-Port Pneumatic Manifold N4E010-M bbb Lithius Used Working10bbb Tokyo Electron HDMS Proccess Reservoir Tank Lithius Used Working21bbb Tokyo Electron Time Delay Relay Assembly Omron H3DE-M2 PR300Z Used Working1Omron H3CR Analog Timer Lot of 4 H3CR-H8L H3CR-A8 bbb Tokyo Electron PR300Z Used1bbb Tokyo Electron CSV2 6-Port Manifold SMC SQ1231DY-5-C4-Q PR300Z Used Working3bbb Tokyo Electron CSV3 6-Port Manifold SMC SQ1231DY-5-C4-Q PR300Z Used Working3bbb Tokyo Electron CSV6 10-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working2bbb Tokyo Electron SVC2 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working2bbb Tokyo Electron SVC2 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working1bbb Tokyo Electron SVC1 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working2bbb Tokyo Electron SVC1 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working1bbb Tokyo Electron SVC6 10-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working3bbb Tokyo Electron SVC6 10-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working1bbb Tokyo Electron SVC3 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working2bbb Tokyo Electron SVC3 11-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working1bbb Tokyo Electron SVC4 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working2bbb Tokyo Electron SVC4 7-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working1bbb Tokyo Electron SVC5 17-Port Manifold SMC SQ1131DY-5-C4-Q PR300Z Used Working3bbb Tokyo Electron I/O Chamber 5-Port Manifold SMC SQ1331RY-5-C4-Q PR300Z Used3bbb Tokyo Electron VP/VI 4-Port Manifold SMC SQ1131Y-5-C4-Q PR300Z Used2bbb Tokyo Electron VP/VI 4-Port Manifold SMC SQ1131Y-5-C4-Q PR300Z Used1Sanken Electric HWA300-24C Power Supply bbb Tokyo Electron PR300Z Used Working4bbb Tokyo Electron PR300Z Wafer Spin Motor Assembly BEOL Cleaning System Used3bbb Tokyo Electron PR300Z Wafer Robot Assembly 300mm MC5552-801-001 Used Working1bbb Tokyo Electron PR300Z Wafer Robot Assembly 300mm MC5552-801-001 Used Working1bbb Tokyo Electron PR300Z Right Wafer Mapping Assembly M-DW1 System Used Working1bbb Tokyo Electron PR300Z Right Wafer Mapping Assembly M-DW1 System Used Working2bbb Tokyo Electron PR300Z 300mm Wafer Spin Cassette BEOL Copper Used Working2Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.31 OPTISTATION 3 Used1Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.31 OPTISTATION 3 Used2Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.31 OPTISTATION 3 Used2bbb Tokyo Electron PR300Z 300mm Wafer Pincette End Effector Copper Used Working3Asyst Technologies 9700-9961-01 RFID Reader Advantag ATR Gateway Used Working1Yaskawa YR-CRJ3-A00 Robot MOTOMAN bbb Tokyo Electron PR300Z Used Working1Mykrolis FC-2900V Mass Flow Controller 5 SCCM CO2 Used4Yaskawa ERCR-NS00-A210-E Robot Controller NXC100 Used Working1Yaskawa ERCR-NS00-A210-E Robot Controller NXC100 Used Working1bbb Tokyo Electron PR300Z 300mm Wafer Rack Assembly BEOL Copper Exposed Used4EBM Papst 505-3004 System 3000 24&48V Fan Monitor PCB Reseller Lot of 9 Used1Setra 2241100PAAA33D9JAP Pressure Transducer 224 Lot of 7 Used Working1Wasco P400V-25W3A-X/9671 Vacuum Pressure Switch Lot of 4 Used Working1Wasco SP-129-81W3A-X/9698 Vacuum Pressure Switch Lot of 3 Used Working2Nagano Keiki ZT17 Pressure Sensor Reseller Lot of 7 Used Working3Omron H3DE-M2 Time Delay Relay Lot of 8 bbb Tokyo Electron PR300Z Used Working1TDK RKW24-6R5C Power Supply Lot of 2 bbb Tokyo Electron PR300Z Used Working2TDK RKW24-6R5C Power Supply Lot of 3 bbb Tokyo Electron PR300Z Used Working1TDK-Lambda RTW12-4R3C Power Supply Lot of 2 bbb PR300Z Used Working3TDK-Lambda RTW24-2R2C Power Supply Lot of 3 bbb PR300Z Used Working1TDK-Lambda RTW24-4R2C Power Supply Lot of 3 bbb PR300Z Used Working1Agilent 10898-68002-23-04 Dual Laser Axis VME PCB Card 10898A 10898-60002 As-Is1AMAT Applied Materials 3700-01091 O-Ring Viton 2-389-S Reseller Lot of 4 New1AMAT Applied Materials 3700-01170 O-Ring Viton 2-388-S Reseller Lot of 4 New3Sumitomo Electric 52131001A D/A Board PCB Card DA-Z Nikon NSR Used Working2Sumitomo Electric 52131001C Drive Board DRIVE-Z3 PCB Card Nikon NSR Used Working1Sumitomo Electric 52131001C Drive Board DRIVE-Z3 PCB Card Nikon NSR Used Working2Sumitomo Electric 52131001C Drive Board DRIVE-Z12 PCB Card Nikon NSR Used2Sumitomo Electric 52131020C Backplane Board PCB Nikon NSR Used Working1Power Source PFE24HSX-U Switching Power Supply 24VDC 240 Watts Used Working5KLA-Tencor 0041186-003 AIT UV 2D PSF DRVR Board PCB Rev. AB Used Working2KLA-Tencor 0040615-002 LCD ROW COL Driver Lens Assembly Rev. AC Used Working2KLA-Tencor 0033116-002 Lens Alignment Assembly 0033233-001 AIT UV Used Working1KLA-Tencor 0033116-002 Lens Alignment Assembly 0033233-001 AIT UV Used Working4bbb Tokyo Electron 2-Port Pneumatic Manifold SQ1231DY-5-C4-Q PR300Z Used2bbb 1B80-002389-11 DN Board Assembly DI80DO80 1B80-002394-11 PR300Z Used Working3bbb 1B80-002390-11 DN Board Assembly DI48DO64 1B80-002395-11 PR300Z Used Working4bbb 1B80-002390-11 DN Board Assembly DI48DO64 1B80-002396-11 PR300Z Used Working3bbb 1B80-002389-11 DN Board Assembly DI80DO80 1B80-002393-12 PR300Z Used Working3bbb Tokyo Electron 1B80-001527 PCB A/D CN BOARD PR300Z Lot of 3 Used Working1Mitsubishi CP30-BA Circuit Protector 2-Pole 5A Reseller Lot of 9 Used Working1Mitsubishi CP30-BA Circuit Protector 2-Pole 3A Reseller Lot of 6 Used Working1Mitsubishi CP30-BA Circuit Protector 2-Pole 10A Reseller Lot of 6 Used Working1Mitsubishi CP30-BA Circuit Protector 3-Pole 10A Reseller Lot of 6 Used Working1Mitsubishi CP30-BA Circuit Protector 3-Pole 15A 30A Lot of 6 Used Working1Fuji Electric FRN2.2E1S-2J FRENIC-Multi Inverter Used Working1Fuji Electric EFL-4.0E11-2 3 Phase RFI Filter Reseller Lot of 2 Used Working1Omron H3CR-H8L Timer Relay H3CR-A8 Reseller Lot of 4 Used Working1Schumacher 1730-3013 Vacuum System Control Panel Assembly Used Working5Muse Measurements M9600-100 Loop Powered Scale Base 100 Pounds Used Working7Muse Measurements M9600-100 Loop Powered Scale Base 100 Pounds Used Working9SMC XLAQ-40-X925 Vacuum Angle Isolation Valve bbb 3D80-002107-V1 Used Working7IDEC PS5R-G24 24V DC Power Supply Reseller Lot of 4 Used Working1Omron S8PS-05005C 5V DC Power Supply Used Working3Cosel K150AU-24 24V Power Supply Reseller Lot of 3 Used Working1Cosel R150U-24 24V Power Supply Reseller Lot of 4 Used Working1Omron S8PS-10024C 24V DC Power Supply Used Working3Mean Well DR-75-12 12V DC Power Supply Reseller Lot of 4 Used Working1Hermos TLG-I1-1000-S0-00EB Transponder Reader TLG-RS232 Used Working3Brooks TLG-I2-FL6M-01 Transponder Reader TLG-RS232 Used Working3Sanyo VCC-5884 Color CCD Camera Set of 3 Used Working1KLA-Tencor 0024480-000 Single Lens 0019774-000 Left Assembly AIT Fusion UV Used1KLA-Tencor 0024480-000 Single Lens 0019774-000 Left Assembly AIT Fusion UV Used1KLA-Tencor 0022418-000 Reflector Lens Rev. AB AIT Fusion UV Used Working1KLA-Tencor 0022418-000 Reflector Lens Rev. AB AIT Fusion UV Used Working3SMC 3D80-000055-V1 1-Port Pneumatic Manifold Lot of 4 SZ3A60K-5LOZ-C6-X31 Used1SMC 3D80-000057-V1 2-Port Pneumatic Manifold Lot of 3 SZ3A60K-5NLOZ-M-X31 Used1SMC 3D80-000054-V1 4-Port Pneumatic Manifold Lot of 3 SZ3A60K-5LOZ-C4-X31 Used2SMC 3D80-000053-V1 5-Port Pneumatic Manifold Lot of 2 SZ3260K-5LOZ-C4-X31 Used2SMC 3D80-000053-V1 5-Port Pneumatic Manifold Lot of 2 SZ3260K-5LOZ-C4-X31 Used3SMC 5-Port Pneumatic Manifold Lot of 2 SZ3360-5NLOZ-C6 Used Working11CKD N4S0-T56 16-Port Pnuematic Manifold OPP3-1H Lot of 2 Used Working1CKD N4S0-T56 8-Port Pnuematic Manifold OPP3-1H Lot of 2 Used Working1CKD N4S0-T56 15-Port Pnuematic Manifold OPP3-1H N4S010 Used Working1Motoyama SUSF316L 3-Way Diaphragm Valve Normally Closed 10K - 1/4 Used Working2Motoyama SUSF316L 3-Way Diaphragm Valve Normally Open 10K - 1/4 Used Working1Motoyama SUSF316L Pneumatic Valve Normally Closed 10K - 1/4 Lot of 5 Used Working1MDC 311074-1010 Pneumatic Angle Valve Used Working1Nikon LS1 Optical Laser Sensor Head Set of 3 LS1-1 LS1-2 LS1-3 NSR Series Used1Nikon LS2 Optical Laser Sensor Head Set of 3 LS2-1 LS2-2 LS2-3 NSR Series Used1Nikon LS3 Optical Laser Sensor Head Set of 3 LS3-1 LS3-2 LS3-3 NSR Series Used1Nikon LS4 Laser Sensor Set of 3 LS4-1 LS4-2 LS4-3 NSR Series Used Working1Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working1Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working3Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working2Nikon 2S700-598 Memory Board MCR PCB Card 2S014-038-2 OPTISTATION 3 Used Working2Nikon LS5 Laser Sensor Set of 3 LS5-1 LS5-2 LS5-3 NSR Series Used Working1Sony CMA-D2 Camera Adaptor Power Supply Reseller Lot of 2 Used Working1Watec LCL-903K Color CCD Camera with Kowa 3.5-10.5mm Lens Used Working1bbbeFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working2bbbeFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working4bbbeFrank TEP110-24 AC-DC Converter Gmbh Power Supply Used Working5bbbeFrank TZP80-2405/S AC-DC Converter TZP 80 Used Working8Fujikin 053801 Pneumatic Valve Normally Closed 316L-P Lot of 5 Used Working8Fujikin 053804 Pneumatic Valve Normally Open 316L-P Lot of 3 Used Working1Fujikin 059577 Pneumatic Valve Normally Closed 316L-P Lot of 4 Used Working1Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 Used Working10SMC ZSE30-01-65-M Pressure Switch Reseller Lot of 14 Used Working1AMAT Applied Materials 0190-24484 Transponder Reader TLG-I2-AMAT-R1 Used Working10TDK S2091-86-001 Load Port Power Supply TAS300 Used Working1TDK S2091-86-001 Load Port Power Supply TAS300 Used Working2TDK S2091-86-001 Load Port Power Supply TAS300 Used Working1TDK S2091-86-001 Load Port Power Supply TAS300 Used Working22Densi-Lambda JWS50-24/A Power Supply Reseller Lot of 2 Used Working2Nemic-Lambda NNS50-15 Power Supply Reseller Lot of 2 Used Working1TDK-Lambda HWS150-5A 5V Power Supply Reseller Lot of 2 Used Working1Cosel PBA50F-15 15V Power Supply Reseller Lot of 2 Used Working1Dalsa TA-C1-04K30-50E Video ControllerUsed Working1Nemic-Lambda NNS15-5 Linear Power Supply Used Working1Nikon 65259 Revo Controller Hitachi I900SRT Used Working1Kokusai CX1103M Power Supply/Inverter D3E01317 Used Working4Inficon 399-001 Vacuum Switch VSA100A bbb 3D86-000485-V4 Used Working10Inficon 399-001 Vacuum Switch VSA100A bbb 3D86-000485-V4 Used Working7Nikon SPA452A WYL Linear Motor Controller 4S587-469-3 Used Working4Nikon SPA451B W X Linear Motor Controller 4S588-463 Used Working5Hitachi ZVV020-2 Processor Board PCB Card I-900 CHPCMP ZVV020 I-900SRT Used36Hitachi ZVV022 Processor PCB Card I-900 GRYCMP2 I-900SRT Used Working8Hitachi ZVV016 Processor PCB Card I-900 EXBF2 I-900SRT Used Working4Mitsubishi MR-J2S-40A-S12 AC Servo Amplifier MELSERVO Drive Used Working1Axcelis Technologies 531061 E84 Interface Board Backplane PCB 531051 Used2Horiba STEC SEC-Z512MGX Digital Mass Flow Device Z500 100 CCM CHF3 New Surplus2Matsusada Precision HJPZ-1B-HS-V2 High Voltage Power Supply Piezo Driver Used1MKS Instruments 99E1694 Pneumatic Angle Valve Used Working2Hitachi ZVV023 Processor PCB Card I-900 CELCMP2 I-900SRT Used Working4Hitachi ZVV032 Processor PCB Card I-900 VINP2 I-900SRT Used Working8Hitachi ZVV034 Processor PCB Card I-900 VEDIT2 I-900SRT Used Working4Hitachi ZVV031 Processor PCB Card I-900 EXBF3 I-900SRT Used Working2Hitachi ZVV036 Processor PCB Card I-900 CLOCK1_2 I-900SRT Used Working1Hitachi ZVV035 Processor PCB Card I-900 CLOCK3_2 I-900SRT Used Working1Hitachi ZLJ070 Processor PCB Card I-900 CHPMEM2 I-900SRT Used Working4Hitachi 571-7207 SRSEQ11 PCB Board I-900SRT Used Working1Hitachi 571-7204 STSEQ11 Interface Board PCB I-900SRT Used Working1Hitachi ZVV037 Control Board PCB Card I-900 HK3 MONIT2 I-900SRT Used Working1Hitachi 571-7016 Processor PCB Card COUNTER0 I-900SRT Used Working1Hitachi 571-7511(b) Processor PCB Card AFADC00 I-900SRT Used Working1Hitachi ZVV021 Control Board PCB Card I-900 HK3 TRANDEF I-900SRT Used Working1Hitachi ZVV029 VME Interface Board I-900 EXBF-VME2 PCB Card I-900SRT Used2Hitachi 571-7025 Processor PCB Card AFCNT00 I-900SRT Used Working1Densan DVEVA-SH7750 ES4 VME Interface PCB Card I-900SRT Used Working3Hitachi 571-7028 Processor PCB Board AFBUS00 I-900SRT Used Working1Hitachi 571-7201 Processor PCB Board OPSEQ11 I-900SRT Used Working1Sumitomo Electric 52131020C Backplane PCB Board 52110507 Nikon NSR Used Working1bbb Tokyo Electron CPC-T0001A-13 Chemical I/O PPC-T0006A-11 2981-600001-12 Used2Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. AC KLA Used1Cosel LEA150F-24 Power Supply 24V Used Working1Kokusai SPCONV10A Converter Interface Module Used Working1DNS Electronics LPD Module FC-3000 Wet Station Used Working1A to Z Electronics 70512360100 SDT Module Used Working1Ion Systems NilStat 5024(e) Controller Used Working1Panasonic MSD4ACA1XX AC Servo Driver Minas Used Working2Nikon 4S001-087-DENGEN8200 Power Supply Board Used Working4Festo CPV10-GE-MP-8 8-Port Pneumatic Manifold CPV-10-VI Used Working3Nagano Keiki GC84-ID9 Digital Indicator Lot of 8 Used Working1SMC 3D80-000057-V1 2-Port Pneumatic Manifold SZ3A60K-5NLOZ-M-X31 Used Working1CKD N4S0-T50 5-Port Pneumatic Manifold Reseller Lot of 4 Used Working1Festo CPV10-GE-MP-4 4-Port Pneumatic Manifold CPV-10-VI Used Working1SMC 10-Port Pneumatic Manifold VQ1200Y-5 Used Working1SMC 11-Port Pneumatic Manifold SY3140-5LZ Used Working1Nemic-Lambda EWS100-24 Power Supply 24V Used Working1Nemic-Lambda RWS30A-12/A Power Supply 12V Used Working1Nemic-Lambda RWS30A-24/A Power Supply 24V Used Working1Yamatake FRS100C100 Flame Detector Relay FRS100 Used Working1Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-4 v2.23 OPTISTATION 3 Used4Bender RCM470LY-7121 Risidual Current Monitor Reseller Lot of 4 Used Working1Nissei Corp GF12N005 -UTML25NN GTR 3-Phase Induction Motor Used Working2Nissei Corp UGFM-12-5-T25 GTR 3-Phase Induction Motor Used Working1Nissei F2F18L160-UTBR90NNX GTR 3-Phase Induction Motor 40 Used Working3Nissei F2F18L160-UTBR90NNX GTR 3-Phase Induction Motor 40T6 Used Working3Nissei Corp F2S15N030-UTBR90NN 3-Phase Induction Motor Used Working7Shimpo-Nidec VRSF-PB-5B-50 Servo Motor ABLE Reducer Used Working1Omron R88M-W75030T-B AC Servo Motor NES100W-N15CXN16C Used Working1Omron R88M-W20030T-S2 AC Servo Motor Used Working2Yaskawa SGMAH-04A1A-AD21 AC Servo Motor Used Working1Edwards NRD75100H Helios Head Assembly Combustion Chamber Used Working1Matsush*ta Seiki M-795 Pellicle Stand Alone Measurement System Used Working1Nikon 2S700-386 Microscope Control Keypad 2S070-031-4 OPTISTATION 3 Used Working3Nikon 2S700-552 Microscope Keypad PCB Board 2S070-043 OPTISTATION 3 Used Working3Nikon 2S700-385 Stage Focus EMO Keypad 2S070-032-2 OPTISTATION 3 Used Working3Grundfos CRN5-10 B-P-G-E-HQQE Centrifugal Pump A96581463P20539 90LA2-24FT115-C Used1Grundfos CRN5-10 A-P-G-E-HUUE Centrifugal Pump A96511917P10410 90CB-2-FT115-C Used1Grundfos CRN5-10 A-P-G-E-HUUE Centrifugal Pump A96511917P10430 90CB-2-FT115-C Used1bbb Silicon Valley Group 99-80333-01 End Station CPU 03-80333-01 PCB 90S Used4Sanyo Denki PMM-BD-57025-1 Servo Driver Assembly bbb Tokyo Electron P-8 Used8bbb Silicon Valley Group 99-80270-01 Sensor Multiplexor PCB Board 90S Used1bbb Silicon Valley Group 99-80270-01 SYS90 System I/O Board PCB Rev. G 90S Used1bbb Silicon Valley Group 99-80271-01 Sensor Multiplexor PCB Board 90S DUV Used1Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 OPTISTATION 3A Used Working1Nikon 2S700-582-1 TCCNT Control PCB Card 2S014-035-5 OPTISTATION 3A Used Working2Nikon 2S700-582-1 TCCNT Control PCB Card 2S014-035-5 OPTISTATION 3A Used Working1Nikon Power Unit Roll Around Power Supply OPTISTATION 3 Used Working1Nikon OPTISTATION 3 Microscope Turret with Light Source Assembly Used Working3ABB Control EH160C-N 3-Phase Contactor 24V Coil Used Working1bbb P-8 Wafer Prober 200mm Wafer Indexer Assembly working6bbb Silicon Valley Group 99-80308-01 DC Distribution MCE Mount PCB 90S Used1bbb Silicon Valley Group 99-80304-01 Handler Interface Board PCB 90S DUV Used1bbb Silicon Valley Group 99-80396-01 Nikon Interface PCB Assembly 90S DUV Used1Electroglas 243015 Analog to Digital Selector Board PCB 243014A Used Working2Medtronic Micro-Rel 3312784 VTI Hamlin Accelerometer PCB Card 100897-1 Used1Electroglas 100020 Interface Control Board PCB Card 10019A Used Working1Electroglas 100016 Prober Cycle Control Board PCB Card 100015C Used Working1Electroglas 100012 Setup & Auto SEQ Control PCB Card 100011C Used Working1Electroglas 100936 Adaptive "Z" Controls PCB Card 100935 Used Working1Electroglas 102676 Ramp Length & Velocity Control PCB Card 102675 Used Working1Electroglas 100040-3 Ramp Slope and Align Load Control PCB Card 1000395 Used1Electroglas 100004 Single Axis Pulse Control PCB Card 100003 Used Working2Electroglas 242840 Multifunction Joystick Controller Used Working1Olympus SZ Stereoscopic Zoom Microscope Head 0.7-4X G20X Support Block Used1Oriental Motor PH266L-31 2-Phase Stepping Motor Vexta Used Working4Brooks Automation 015-0804-01 Wafer Aligner Gimbal Assembly Used Working2Irvine Optical UG LDF ELV Connection Board PCB Alphanetics Lot of 4 Used1Irvine Optical 601999 XYZT UNIV Sensor Connector Board PCB 601995 Used Working1Irvine Optical Company BLDST1 RVC Connection Board PCB Used Working2Irvine Optical Company BLCTL1 RVC Time Delay Board PCB CNS-35-92 Used Working2Integrated Power Designs SRW-100-1008 Power Supply Reseller Lot of 2 Used1Oriental Motor PH265L-04 2-Phase Stepping Motor Vexta Used Working4AMAT Applied Materials 0010-22567 CPI-VCM Chamber Source 3 Missing Parts As-Is1Deublin 957-600 Pneumatic Motor AMAT Applied Materials 0190-01272 Used Working2AMAT Applied Materials 0090-01312 300mm Source Rotation Motor Assembly Used2AMAT Applied Materials 0021-11382 CPI-VCM Chamber Source 3 Magnet Assembly Used1Hitachi BBS208-4 System Interface LED Display Connection Board PCB Used Working1AMAT Applied Materials 0100-09126 Remote Wiring Distribution Board Panel Used1AMAT Applied Materials 0226-30332 Modified N2-DRYVAC Distribution Board PCB Used1Matsush*ta AIGT3100B NAiS Programmable PLC System FP0-C14 FP0-E16 FP0-A21 Used2AMAT Applied Materials 0100-09040 7 Relay SSR AC Control Board PCB Used Working1Red Lion Controls GL300T002400 Operator Control Panel GL300T Used Working1bbb Silicon Valley Group Operator Control Panel 90S DUV System ASML Used Working1bbb Silicon Valley Group SV99-43636-04 Keyboard Panel 90S DUV System ASML Used1IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card bbb 90s DUV Spare1IBM 57F2705 SBC Single Board Computer 57F2434ESD PCB Card bbb 90s DUV Spare1Texas Microsystems 10813 PCA Backplane BM7-AT 7AT Slots bbb 90S DUV Used Working1Texas Microsystems 10813 PCA Backplane BM7-AT 7AT Slots bbb 90S DUV Used Working1Nikon BD PlanApo 40/0.80 Microscope bbbbbbive 210/0 OPTISTATION 3 Used Working3Nikon M Plan 2.5 0.075 Microscope bbbbbbive 210/0 OPTISTATION 3 Used Working3TDK MSE280D Power Supply PCB Card 2EA00E280 Nikon 4S001-106-1 Used Working1Nikon 2S700-583-1 CCCNT Control PCB Board 2S014-036-4 V2.23 OPTISTATION 3A Used1Nikon 2S701-009 NTP-NMC-T PCB Card 2S020-061-1 V1.08 OPTISTATION 3 Used Working2Nikon 2S700-580 SCPU Control PCB Card 2S014-033-3 V1.21 OPTISTATION 3 Used2Nikon KAB11040/3201-2 2S017-394-2 CCD-I/F PCB 4S018-546 OPTISTATION 3 Used1bbb Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working4Nikon 2S003-041 MIC-I/F PCB Board 4S003-058 OPTISTATION 3 Used Working1Nikon KAB11110/3301-0 AF-CCD Auto Focus Microscope Module OPTISTATION 7 Used1Nikon VS-MOT Auto Focus Microscope Motor LA16G324B50MC OPTISTATION 7 Used1Nikon Shutter Assembly AS-MOT AS-POT Auto Focus Microscope OPTISTATION 7 Used1Nikon KAB11005-0149 200mm Wafer Inspection Stage Assembly OPTISTATION 7 Used1Nikon BMU-3 Optical Lens Assembly OPTISTATION 7 Reseller Lot of 2 Untested As-Is1Nikon BMU-2 BMU-EXH3 Optical Mirror and Lens Assembly OPTISTATION 7 Used Working2AVED AV545-ISA-ADTDK0189 Video Processor Board AV54 PCB bbb 90S DUV Used Working1Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working2Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working2Nikon 2S700-581 System Control PCB SCNT Optistation 3 Used Working11Oriental Motor PK564AW-A44 5-Phase Stepping Motor Vexta Used Working5Hitachi SEQ Box Power Module I-900SRT Used Working1Yaskawa XU-DL1110 Robot Power Supply Module Hitachi I-900SRT Used Working1Mitsubishi HC-MF23G1-UE Servo Motor Takamura K9005 B Gearhead Used1ABB CP-24/1.0 Switching Power Supply 1SVR423418R0000 Reseller Lot of 3 Used2Transmation 2800-NI Universal Temperature Transmitter Reseller Lot of 3 Used2bbb Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working1bbb Tokyo Electron P-8 Camera Assembly Sony XC-75 Kowa GFZ-2160 Used Working1Matsush*ta NAiS Programmable PLC System FP0-C14 FP0-E16 FP0-A21 Used Working2Teknic M-3450-111 Servo Motor SST 8000 Quad Delta 2100867 Summit ATC Used2Teknic M-3450-111 Servo Motor SST 8000 Quad Delta 2100867 Summit ATC Used2Mitsubishi Electric HC-MF2G1-UE AC Servo Motor Gear Head K9020 B Used Working1Oriental Motor 5RK60GU-CWT Reversible Motor Gear Head 5GU18KA Used Working2Oriental Motor SGMAH-01A1A-AD11 AC Servo Motor Used Working6VEM Motors Thurm KU1R 132 SX 2T DSD NSD TPM145 AC Drive Motor Used Working1Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus2Nemic-Lambda HR-12F-36V DC Power Supply Used Working Surplus5bbb Silicon Valley Group 99-38154-01 Z Motion Transfer Robot 9002 90S DUV Used5bbb Silicon Valley Group 40615-01-0 Chill Plate End Station 150mm 90S DUV Used1bbb Silicon Valley Group 99-43012-02 Wafer Shuttle Arm Robot 9003S 90S DUV Used1bbb Tokyo Electron P-8 Wafer Prober Loader Rack 3281-000086-13 working4bbb Silicon Valley Group 99-43012-01 Wafer Shuttle Arm Robot 9003S 90S DUV Used1Maxon Motor 44.060.000-00.09-071 Shuttle Motor bbb 90S DUV 112-087 Used Working2bbb Silicon Valley Group Wafer Gripper Station 150mm 90S DUV Used Working1Millipore ENC0MPH01 Encompass HV Fluid Dispense Pump Photoresist bbb 90S Used1Millipore ENC0MPH01 Encompass HV Fluid Dispense Pump Photoresist bbb 90S Used2Yaskawa XU-DL2100 Servo Drive Nikon 4S064-521-2 Used Working1Nikon 4S008-180 Audio Video Processor Board PCB AV-I/FX4B Used Working3Nikon 4S005-387 Optical Sensor Board AF-SENSORX4-SUB-A 4S083-858 Used Working1Nikon PCB Assembly 4S008-110 ALGAF-T/A-X4+ 4S008-113 4S008-112 Used Working1Omron 3G8F7-DRM21-1Ro PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working1Omron 3G8F7-DRM21-1Ro PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working1Sanyo Denki PMM-BD-57035-7 Servo Card bbb Tokyo Electron 3286-000880-1 P-8 Used2Sanyo Denki PMM-BD-57035-7 Servo Card bbb Tokyo Electron 3286-000880-1 P-8 Used2Mitsubishi Q80BD-J71LP21-25 PLC MELSECNET/H Interface PCB BD808C121G51 Used5Meiden ZN77Z Backplane Board PCB MU24A31291 SU22A32117 A SU18A30221 Used4Meiden UP006/001A Battery Unit Card MDTE-PU4057-3964(3) Used Working4Lightwave Electronics M131S-AA01 Laser Diode Driver 131A Used Working1Coherent DPY301II Laser Power Supply Used Untested As-Is1NTRON C7-01-1110-02-0 Model 1100 O2 Analyzer 1110BE-N1 Used Working1Dalsa TA-L2-04K30-50E Inspection Lens Module Hitachi I-900SRT Used Working1AMAT Applied Materials 0010-08323 Local RF Match Rev. 007 Used Working1AMAT Applied Materials 0010-08322 Local RF Match Used Untested As-Is1Tokyo Electronic Industry CS8340B Compact CCD Camera TV4602A1 Used Working1Hitachi CCWLMT Linear Optics Stage Assembly I-900SRT ORG Used Working1Asyst Technologies 0FH3000-001 Prealigner Hitachi I-900SRT Used Working1Hitachi Wafer Stage Assembly I-900SRT Defect Inspection Tool Used Working1Nabtesco 3D80-000005-V3 Wafer Transfer Robot Motor Base Used Working1Nabtesco 3D80-000005-V3 Wafer Transfer Robot Motor Base Used Working1Berkeley Process Control USA2-11-28-UR Multi-Axis Universal Servo Amplifier Used Working1Berkeley Process Control USA2-11-28-UR Multi-Axis Universal Servo Amplifier Used Working1Sanyo Denki PMM-BD-57035-8 Servo Card bbb Tokyo Electron 3286-001590-1 P-8 Used2Sanyo Denki PMM-BD-57035-8 Servo Card bbb Tokyo Electron 3286-001590-1 P-8 Used2Power-One HN15-4.5-A Power Supply VAD610708 KLA-Tencor AIT 2 Used Working1Power-One HN5-9/OVP-A Power Supply VAD610707 KLA-Tencor AIT II Used Working1Power-One HN28-3-A Power Supply VAD610239195 KLA-Tencor AIT 2 Used Working1Power-One HC5-6/OVP-A Power Supply VAD610709 KLA-Tencor AIT 2 Used Working1KLA-Tencor Dual SMIF Interconnect I/O PCB 0037918-00 Rev. AD Used Working2KLA-Tencor 552364 Light Tower Driver Board AIT 2 Used Working2KLA-Tencor 509868 Rev. AB Remote LED Board AIT 2 Used Working1Tencor Instruments 363251 4 Channel Motor Control PCB Card Rev. AC AIT 2 Used1Condor HB5-3/OVP-A+ Power Supply HC12-3.4-A+ HN24-3.6A+ Reseller Lot of 5 Used1bbb Tokyo Electron 3281-000019-15 PCB Card TVB3401-1/GPIB P-8 Used Working4bbb Tokyo Electron 3281-000019-15 PCB Card TVB3401-1/GPIB P-8 Used Working8Condor HE2-18-A+ Power Supply HE5-18/OVP-A+ HCBB105W-A+ HCC15-3-A+ Lot of 5 Used1Conoptics M25DS Laser Modulator Power Amplifier Model 25 DS Used Working1Keyence SJ-R036C Static Elimination System Bar Type 360mm Hitachi I-900SRT Used1Allen Integrated Assemblies AP15211 Digital Analog bbbbb Board Used Working10Allen Integrated Assemblies AP15222 Digital Output PCB Card Used Working4National Instruments AT-MIO-16D Multifunction DAQ PCB Card 181965-01 Used1MuTech M-Vision 1000 Frame Grabber PCB Card MV-1000 Used Working1Loughborough Sound Images FRU 600-02095 Processor Card PCB 260-00200 R12 Used1National Instruments AT-GPIB/TNT Plug and Play PCB Card 183663C-01 Used Working1bbb Silicon Valley Group 99-80268-01 System Power Supply Board PCB 90S DUV Used1Sony XC-7500 CCD Camera VGA Hitachi I-900SRT Used Working1Hitachi I-900SRT Lens Wheel Filter Assembly Oriental Motor PK544-NAC Used Working1Hamamatsu C7883E Optical Sensor Board PCB Assembly Hitachi I-900SRT Used Working2SSM Solid State Measurements SX-UID500H CM H2 Lamp Assembly Hitachi I900SRT Used1Nikon 4S008-116 ALGAF-S/D-X4+ Optical Sensor Assembly OPTISTATION 7 Used1Hamamatsu H8008-01 Photomultiplier Tube H8008 Used Working2bbb P-8 Wafer Prober Axis Drive Assembly with PQL-INT board used working1Toflow Helical Flow Inline Flow Meter 0.5~5L/min Used Working1Tokyo Flow Meter HF-M30 Helical Inline Flow Meter 2~20L/min Used Working6Nikon Prism and Mirror Block Optics Assembly OPTISTATION 7 Used Working1Nikon Laser Alignment Block Optics Assembly OPTISTATION 7 Used Working1Nikon Pneumatic Lens Alignment Block Optics Assembly OPTISTATION 7 Used Working1Ashcroft XLDP Differential Pressure Transducer Assembly bbb SV99-45892-01 Used2Cutler-Hammer JD3250F Industrial Circuit Breaker 3A83976G18 Series C Used1Hitachi UH35N AC Magnetic Contactor Reseller Lot of 3 Used Working1Nikon 2S700-580-1 Processor Board SCPU PCB Card 2S014-033-4 OPTISTATION 3 Used2Nikon 2S700-580-1 Processor Board SCPU PCB Card 2S014-033-4 OPTISTATION 3 Used1bbb P-8 Wafer Prober Tray Loader Assembly working2bbb P-8 Wafer Prober Tray Loader Assembly working3bbb P-8 Wafer Prober Tray Loader Assembly working1Asyst Technologies 9700-6224-01 Sensor for ADVANTAG 9100 Lot of 2 Used Working5Omron S82J-10024D Compact Power Supply Reseller Lot of 10 Used Working1Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working1Omron V640-HAM11-V2 RFID Amplifier Unit with CIDRW Head V640-HS61 Used Working25Shinko SCE93-100009-C1 Interface Board PCB SLPCN3A-1 SBX08-000032-11 Used20Shinko M223D Compact Servo Drive PDS-D (PbF) Used Working3Shinko M223D Compact Servo Drive PDS-D (PbF) Used Working1Dynax F104-IO/1 In Out I/O Interface Board PCB DNX5191 Used Working16Dynax F104-CPU Processor CPU Board PCB DNX5171 Used Working9bbb PCB Display Driver Board 3281-000043-19 working1Mitsubishi FR-A024-0.4K Inverter FREQROL-A024 bbbbbeter Unit FR-PU03E Used9Axcelis Technologies 624811 Power Supply Gemini Used Working1Delta Design 1941692-503 Pick and Place Interface Board PCB TLC-503 Used4ASML 4022.471.7488 Capacitor Interconnect PCB Card Used Working4Delta Design 1669755-501 Push Bar/LCD Control PCB Used Working1Therma-Wave 24258 PCA Backplane ISA PCI Rev. B Opti-Probe 2600B Used Working1AMAT Applied Materials 0100-00003 Stepper Drive PCB VME Card Used Working1Pureron DFM2-1000 Flow Meter Reseller Lot of 5 Used Working1bbb P-8 Wafer Prober Axis Drive Assembly with SMC Cylinder CY1R6H-R5495-1254Nikon 4B043-726 Magnetic Linear Rail Assembly OPTISTATION 7 Used Working1Shimadzu 262-78187-20V1 TMP Turbomolecular Pump Control Cable Set 20M Used1bbb Tokyo Electron 3281-000147-12 LST-1 PCB Card 3208-000147-11 P-8 Used Working2bbb Tokyo Electron 3281-000147-12 LST-1 PCB Card 3208-000147-11 P-8 Used Working3KLA-Tencor 0052196-007 MMD Analog AIT UV Board 0021488-001 AIT Fusion UV Used2KLA-Tencor 0052412-001 GPIO In/Out PCB Card 0052413-001 Used Working1Dolan-Jenner A241PB6TC42 Fiber-Lite Regulated Power Supply A-241P-RS-232 Used1Dolan-Jenner A241PB6TC42 Fiber-Lite Regulated Power Supply A-241P-RS-232 Used1bbb Tokyo Electron OYDK-065 CONN EXT CHEM #02 PCB Board Lithius Used Working2bbb Tokyo Electron OYDK-020 CNTR EXT CHEM #01 PCB Board Lithius Used Working1bbb Tokyo Electron OYDK-064 RY EXT CHEM #02 PCB Board Lithius Used Working2bbb Tokyo Electron OYDK-060 I/O EXT CHEM #02 PCB Board Lithius Used Working2Tokyo Keiso UCUF-04B/ZT Photoresist Pump Assembly bbb Lithius Used Working11bbb Tokyo Electron Chemical Vessel Drawer Assembly Clean Track Lithius Used1bbb Tokyo Electron HTE-TC3-A-AA IF TC CTRL #03 Board PCB TMB2200 Lithius Used1Iwaki HPT-106-2 Photoresist Tubephragm Pump HPT-106 bbb Lithius Untested As-Is1Omron 3G8F7-DRM21-1(1) PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working3Omron 3G8F7-DRM21-1PbF PCI Bus DeviceNet Board PCB 3G8F7-DRM21 Used Working1Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working2Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working4Nikon 2S700-555 Video Sync Board MST PCB Card 2S015-064-4 V5.16A Used Working4Meiden YZ50A Backplane Board PCB SU18A30141 MU24A31161 SU22A31969 Used Working4ADTX A202588 Hard Drive Interconnect Processor Board PCB AXRB-NCA-MD Used2Meiden YZ84Z LED Indicator Board PCB SU22A31963 MU24A31158 Lot of 3 Used Working1Setra 204100-50-NK Pressure Transducer 204 0-700kPa Used Working1ASML 4022.471.6240 Fiber Optic Tranceiver PCB Card 4022 471 4187.1 Used Working4ASML 4022.471.5535 Dummy VME Card PCB VME64E 4022 471 55361 Used Working1ASML 4022.471.5533 Dummy VME Card PCB VME64E 4022 471 5533.1 Used Working3ASML 4022.436.7714 bbbbbded Programmable Logic VME Card PCB Used Working1ASML 4022.471.6464 I/O In Out Interface VME Card PCB 4022 471 64651 Used Working1ASML 4022.471.7041 S04 Serial Interface VME Card PCB 4022 471 70421 Used Working1ASML 4022.471.6947 S08 Serial Interface VME Card PCB 4022.470.88821 Used Working1ASML 4022.471.6949 S15 Serial Interface VME Card PCB 4022 471 69501 Used Working1ASML 4022.471.7510 S31 Serial Interface VME Card PCB 4022 471 74971 Used Working1ASML 4022.471.7491 S31 Serial Interface VME Card PCB 4022 471 74971 Used Working1ASML 4022.437.3013 Shutter Control Interface Card PCB Used Working2Anorad B801857A Dual PI Interface Board PCB Used Working1DIP Incorporated EH0111(B)-8 Power Supply PCB Assembly EH0111 DB-D56-101E Used2Daif*cku P0D-3716A Backplane Interconnect Board PCB Used Working1Nikon 4S013-474 Power Distribution Board PCB RLIOP-I/F3 Used Working1Air Products 287-423121 LED Indicator Supervisior Board PCB Used Working2Air Products DD 1151 Processor Board PCB Card DD1001 Used Working2Air Products CRSD1538 Display Processor Board PCB Card Used Working2Air Products CRSD 1036 CPU Processor Board PCB Card CRSD1036 Used Working2Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537 Used Working2Air Products CRSD1244 Backplane Board PCB Card CRSD 1244 Used Working2Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working8Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working1Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working3Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working4Asyst 810-2850-001 PWM Motor Driver Board PCB Hine Design Used Working1Ametek 5-7006 AMETEK-RTP Fan Control PCB Assembly 5-7004 Used Working1ASML 4022.634.27501 WH Robot Interface PCB Card 4022 634 27511 Used Working1Air Products 1-809-602562 Gasguard Operator Interface Display Panel Used Working2Toshiba 8VD00236000 SALG Power Source PCB Card PSU-KN3-PWB Nikon 4S001-112 Used1Hirata HPC-778B LED Indicator Processor Board PCB HPC-778 Used Working8Hirata HPC-784C AP21 N6 Processor Board PCB 5303940-0C-D Used Working8Brooks Automation 002-6878-02 Connection Board PCB 002-8276-02 Used Working1Nikon LANRCSLIFX4 BNC Interface Processor Board PCB Used Working1Yamatake SAB10-C4V12 YVME-IF Interface SDS VME Card PCB 81526535-001-03 Used1Shinko SCE93-100036-C1 LPCN-2A-1 Connection Board PCB SBX08-000040-11 Used20Nikon 4S005-362-2 Optical Sensor Board PCB AF-SENSORX4 P19055AAB-A Used Working1Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A Used Working1IP-Square IP57709A-NWC Video Processor Board IPS7709A-NWE Used Working1Nitto CPU-I/F CPU Interface Logic Board PCB Used Working1Tiris RI-CTL RFID Reader Control Module PCB RI-CPU-0001-02 Used Working1Sysmex TA-100KR-P LAN to RS-232C Conversion Adapter IF Board PCB DP0352B Used1Delta Design 1906872-501 bbbbb Sensor Board PCB Used Working13Humo Laboratory HC-6002-2 Two Channel GEM Controller Card PCB HC6002 Used1Humo Laboratory HC-6202-2 4 Line ACI PCB Card HC6002 Used Working1Humo Laboratory HC-6130-1 PC Card I/F Board PCB Card HC6130 Used Working1MKS Instruments 622A12TBE Baratron Transducer 100 Torr Used Tested Working6MKS CV7627A-05 Vacuum Isolation System 627A.1TAD-----S 750B Tested Used Working1MKS Instruments 51A11TCA2BA002 Baratron Pressure Switch Used Tested Working1MKS Instruments 622A12TBE Baratron Capacitance Manometer Not Working As-Is1MKS Instruments 629A13TBC Baratron Pressure Transducer Tested Not Working As-Is1MKS Instruments 627A.1TAD-----S Baratron Transducer Vacuum Leak Tested As-Is2Air Products DD 1552 Non-Incendive Interface Board PCB Used Working2Air Products CRSD 1319 Power Supply Assembly PCB CRSD1319 SRW-65-2201 Used2Hirata HPC-784A Omron Relay Interface Board PCB Used Working1Nikon 4S013-354 Cassette Elevator Interconnect Board CSTLNK [A] PCB Used Working1Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243 Used Working2Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191 Used18Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. G Used Working2Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. H Used Working2Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. D Used Working1Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. B Used Working2Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. C Used Working5Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. F Used Working7Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. E Used Working2Delta Design 1906880-501 MBX RS232/RS485 Expansion Board PCB Rev. F Used Working2Delta Design 1941692502 Pick and Place Interface Board PCB OLB V1.47 Used1Delta Design 1906876-501 Output Driver PCA Board PCB Used Working17Delta Design 1906876-501 Output Driver PCA Board PCB Rev. C Used Working1Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. E Used Working3Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. B Used Working1Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev. G Used Working1Delta Design 1667195-501 Quad Pressure Sensor Board PCB 1667195-501 Used Working1Delta Design 1663396-501 68K Parallel Interface Buffer Board PCB Used Working1TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working4TDK TAS-RIN8 Backplane Interface Board TAS300 300mm Loadport Used Working1RiboTech RT-10034 Summit ULT Board PCB RT-10031 Delta Design Used Working1Delta Design 1937031-501 DAC P1 Interface Board PCB Reseller Lot of 2 Used1Delta Design 1937028-501 ADC Interface Board PCB Reseller Lot of 2 Used Working1Mean Well PD-2512 Power Supply PCB 1923755-001 Reseller Lot of 2 Used Working1Delta Design 1935860-501 PXI-TC Interface Board PCB 1935859-401 Used Working1Delta Design 1661333-502 68000 Master Board PCB 1661332-401 Used Working1Delta Design 1686300-502 Power Distribution Board PCB 1686299 Used Working1Delta Design 1670345-503 256K/512K/1 MEG SRAM Memory Board PCB 1670344 Used1Delta Design 2001-586-000 Interface Backplane Board PCB 2001-586-002 Used2Delta Design 1658643-501 2 Channel Temperature Controller Board PCB Used Working1Delta Design 1684444-501 Dual Channel Temperature Controller Board PCB Used1Delta Design 1657925-501 68008 Processor Board PCB 1664814-001 Used Working1Motorola 0708601 SBC Single Board Computer PCB 01-W3527F Used Working2Motorola 01-W3269F SBC Single Board Computer PCB 84-W8269F01E Used Working1Motorola 01-W3269F SBC Single Board Computer PCB Rev. 01F 84-W8269F01C Used1Delta Design 1937019-503 2400W PFC Boost Supply Board PCB 2001-587-000 Used8SMC INR-244-216U Thermo-Con Power Supply RCP INR-244-244T-670 Used Working1SMC INR-244-217B Thermo-Con Power Supply RCP INR-244-244T-670 Used Working2Delta Design 1666339 Dual DC Motor Control Board PCB 1669550-001 Used Working8Delta Design 1662669-501 DC Dual DC Motor Control Board PCB Used Working2Delta Design 1684833-501 Dual DC Motor Control-Enhanced Board PCB Used Working1Delta Design 1662040-501 AC Power Control 1308 Transbbbber Board PCB Used3Delta Design 1977192-601 Power Supply Board PCB 2001-585-000 Used Working32SMC 2TP-2B575 Thermo-Con Operator Panel PCB INR-244-244T-670 Used Working1ABB ACH550-UH-03A3-4 Adjustable Frequency AC Drive HVAC ACH-CP-B New Surplus1Nikon KSN CS013-025-CHBR-IF Chamber Interface Board PCB PRT M-050 NSR-S307E Used1Nikon 4S019-073-1 Chamber CPU Board PCB CHBCPU-1 PC01023B NSR-S307E Used Working2Nikon 4S018-982 Interface Processor Board PCB RA-TYUKEI-ETTR-1+ NSR-S307E Used1Nikon 4S018-983 Interface Processor Board PCB RA-TYUKEI-ETTR-2+ NSR-S307E Used1Hirata HPC-784A Relay Processor Board PCB AI AM-1 Used Working3Hirata HPC-778 Relay Processor Board PCB AI AM-1 Used Working4ASML Nikon Reticle CCM EL. Connection Box 4022.470.08911Nikon 4S019-137 Driver Board PCB REX-DRV3 NSR-S307E Used Working1Nikon 4S019-147 Motor Control Board PCB REX-MTR NSR-S307E Used Working1Nikon 4S019-192 Pneumatic Control Board PCB REX-AIR3 NSR-S307E Used Working1Nikon 4S013-488 Interface Board PCB RTX4B1 NSR-S307E Used Working4Nikon 4S008-207 Processor Board PCB EP-EES NSR-S307E 200mm DUV Used Working2Nikon 4S008-057 Processor Board PCB AISref-X4 NSR-S307E 200mm DUV Used Working8Mykrolis 50-04424 Interface Board PCB Sub-Assembly 40-04425 Used Working1AMAT Applied Materials 0100-01492 Source Conditioning Board PCB Used Working1Yamatake 408371-001 CPS Interconnect Board PCB Assembly 81408372-011-02 Used2DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0190-14148 DIP-065-026 Used1AMAT Applied Materials 0090-02233 HDPCVD Interlock PCB Card 0190-00285 Used1AMAT Applied Materials 0100-20454 Controller Backplane Board PCB Rev. 005 Used2Delta Design 1666339 Dual DC Motor Control Board PCB Rev. AJ 1698422-501 Used1Zendex ZX 564 ZBX Mother Board PCB PCZX-564-A ASML 859-8147-001 Used Working1ASML 859-0529-001 ISBX Interface Board PCB 859-5036-005 Used Working1ASM Advanced Semiconductor Materials 03-320460D01 MFC I/F Board PCB Used Working1Nikon 4S017-054 AFMTH Interface Board PCB Used Working2Opal 13811 Power Relay Board PCB Card 12488 12489 AMAT SEMVision Used Working1Therma-Wave 14-020990 Shutter Power Driver Board PCB 40-015811 Used Working1Sealevel Systems 3420 ISA 8-Port RS-232 Serial Interface PCB Card Used Working1TDK TAS-LED Load Port Indicator Light Board PCB TAS300 Used Working18TDK TAS-CNEXT Load Port Interface Board PCB Reseller Lot of 2 TAS300 Used12TDK TAS-RIN8 Backplane Interface Board Reseller Lot of 4 TAS300 Loadport Used6TDK TAS-RIN16 Backplane Interface Board Reseller Lot of 4 TAS300 Loadport Used5TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Loadport Used Working5TDK TAS-IN8 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working7TDK TAS-IN12 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used Working8KLA-Tencor HRP-340 Motor Interface Board 303737 working1Schumacher 1730-3002 Reservoir Controller PCB Card S0000164-3 Used Working2Schumacher 1730-3002 Reservoir Controller PCB Card J0403066-1 Used Working2Schumacher 1730-3003 Cabinet Controller PCB Card 1731-3003 Used Working2Schumacher 1730-3009 I/O bbbbb Output Controller PCB Card J0309064-3 Used4Schumacher 1730-3009 I/O bbbbb Output Controller PCB Card S0000164-1 Used4Schumacher 1730-3005 Backplane Board PCB 1731-3005 S09066-55 Used Working1Schumacher 1730-3005 Backplane Board PCB 1731-3005 S0000163-2A Used Working1ASM Advanced Semiconductor Materials 2421534-21 Distribution PCB Used Working1ASM Advanced Semiconductor Materials 2550210-21 Distribution PCB Used Working1Hitachi 549-5525 RPSCONT2 Interface Board PCB 25496725 Used Working6Hitachi 564-5515 EMO CNT Interface Board PCB 25646715 Used Working6Hitachi E-1391-1 Relay Board PCB D-1830 Rev. F Used Working6Shinko SBX93-100003-C1 LPCN-1A-1 Connection Board PCB SBX08-000008-11 Used20Schumacher 1730-3006 Pneumatic EMO Control PCB Card J0404125-1 Used Working1Mydax M1002C RTD Interface bbbbb Board PCB Chiller 1M9W-T Used Working2Mydax M1004D Power Interface Board PCB Chiller 1M9W-T Used Working2Mydax M1003D I/O Interface Board PCB Chiller 1M9W-T Used Working1Mydax M1010D Flowmeter Interface Board PCB Chiller 1M9W-T Used Working1Fuji Electric VFC084A-2T Ring Compressor Blower Used Working1Hitachi 560-5521 NIP Control Board PCB S-9300 Ion Pump Used Working3Hitachi 560-5521 NIP Control Board PCB S-9300 Ion Pump Used Working1PCI Industrial Computers PCI-12S Backplan Board PCB Used Working1Alphasem AG AS420-1-01 Relay Board PCB AS420-1 Used Working1Alphasem AG AS264-2-01 Relay Board PCB AS264-2 Used Working1Alphasem AG AS370-0-01 Communication Board PCB AS370-0 Used Working2Alphasem AG AS267-1-01 Communication Board PCB AS267-1 Used Working1Alphasem AG AS485-0-02 Interface Board PCB AS485-0 Used Working1Comtrol Europe 59750-1 Hostess 2000 BD-SMART8 Smart 8-100 PCB Card Used Working1Alphasem AG AS257-0-02 PC/AT Interface PCB Card AS257-0 Used Working1Dynax MSS5A3A1XDD AC Servo Driver Amplifier Used Working2Shinko SBX93-1000053-C1 CBCN-2-1 Connection Board PCB SBX08-000011-11 Used1Dynax DNX2080 Terminal Relay Board PCB Fics-IOM/16RH Used Working3Shinko 011BP4S-00-0B0 BP-4S PCB Card Backplane Board BP4SB Used Working1Dynax DNX2090 DC-DC Power Interface Board PCB Fics-IOM/16HI Used Working1Shinko ATA-IDE/R-LF(V2.0) Compact Flash PCB Card MASTER-ATA IDE Used Working1Shinko SCE93-100011-C1 SBC Processor LVDT-3A-1 PCB Card SBX08-000027-12 Used1bbb Tokyo Electron E244-000058-11 HDD I/F PCB Card E2B022-11/FRIF A203478 Used1bbb Tokyo Electron E244-000053-11 CPU Processor VME PCB Card E2B023/ECU Used1OKI Techno E2U008/PWR Power Supply PCB bbb Tokyo Electron E239-000069-11 Used1bbb Tokyo Electron E240-000057-11 ECC2 Controller Cooling Fan Card Used Working1bbb Tokyo Electron E281-000029-12 Backplane PCB E2B020-12/BP VPD0PC036F Used1bbb Tokyo Electron BX80-000063-11 ECC2 Controller MC Rack Card Used Working1bbb Tokyo Electron E280-000004-14 CCI Master DI/DO PCB Card E2B004-14/CCIM Used1bbb Tokyo Electron TEB108-12/SIO PCB Card EC80-000117-32 Used Working1bbb Tokyo Electron EC80-000163-21 Network Interface PCB Card TEB110-11/PCL Used1bbb Tokyo Electron E280-000011-13 DC-DC Converter PCB Board E2B003-11/PSD Used1bbb Tokyo Electron E280-000016-41 Video and Comm PCB Card E2B013-22/FPD3 Used1ADTX A202989 IDE Interface Board Assembly bbb E280-000032-31 ZXRB-NAT-31 Used1bbb Tokyo Electron E280-000015-13 Interface Board PCB Card E2B012-11/FDEX Used1NEC 220-500091-001 Risercard01 A2 PCB bbb Tokyo Electron 220-50009D-001-A Used1bbb Tokyo Electron E280-000014-12 Interface Board PCB Card E2B011-11/BP Used1bbb Tokyo Electron 3D80-000766-V1 ECC2 Controller MC Rack Card T-3044SS Used1bbb Tokyo Electron 3D80-000766-V2 ECC2 Controller MC Rack Card T-3044SS Used1bbb Tokyo Electron 3D80-000766-V3 ECC2 Controller MC Rack Card T-3044SS Used1bbb Tokyo Electron 3D81-000041-V2 TPB-S.VO PCB TYB62G-1/SYS2 T-3044SS Used6bbb Tokyo Electron 3D81-000040-V2 Processor PCB TYB61F-1/SYS1 T-3044SS Used6bbb Tokyo Electron 3D81-000045-V2 Processor PCB TYB62H-1/OPF2 T-3044SS Used6bbb Tokyo Electron 3D81-000044-V3 Processor PCB TYB61K-1/OPF1 T-3044SS Used6Shinko Electric 3D80-050107-V1 Wafer Prealigner SCE92100050 bbb T-3044SS Used3Meiden SW100 8-Port Switching Hub UT203/001A bbb Tokyo Electron T-3044SS Used2bbb Tokyo Electron 2L81-050152-V1 Analog I/F PCB YWP-C Assembly T-3044SS Used1bbb Tokyo Electron 3D86-000513-V2 Drive Assembly DBDP74AB363BEBA30 T-3044SS Used2Shinko BX80-0001-40-V1 LM-ARM-CONT(CE) Controller SCE92300107 bbb T-3044SS Used1CTI-Cryogenics 8107814G003 Cryopump CRYO-TORR 8R MRC Eclipse Used Working1TDK TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used Working1bbb Tokyo Electron E280-000065-12 8-Port Switching Hub E2U205-12 T-3044SS Used1bbb Tokyo Electron 3D81-000046-V2 Interface PCB TYB61L-1/ELIF T-3044SS Used6bbb Tokyo Electron PS1 Power Supply Assembly Tray PAA300F-24 T-3044SS Used4bbb Tokyo Electron PS2 Assembly Power Supply Tray PAA600F-24 T-3044SS Used6bbb Tokyo Electron PS1 Assembly Power Supply Tray PBA600F-24 T-3044SS Used2AE Advanced Energy 27-368450-00 B RF Navigator 10013 3155162-037 Novellus Used1Yaskawa Electric XU-RCM5010 Wafer Transfer Robot Nikon NSR-S307E Used Working3bbb Tokyo Electron PR300Z Robot Assembly with 300mm Wafer Handler Copper Used23com 3C16470B Baseline Switch 2016 HP 10016356 Reseller Lot of 3 Used Working1HP Hewlett-Packard 98624-66501 HP-IB 98624A PCB Card Reseller Lot of 2 Used1HP Hewlett-Packard A1473-66530 Connector PCB Card B-0100-RT Used Working2bbb Tokyo Electron 3281-000014-16 Board PCB Card TVB3102-1/SIO P-8 Used Working3bbb Tokyo Electron 3281-000014-16 Board PCB Card TVB3102-1/SIO P-8 Used Working1bbb Tokyo Electron 3281-000014-16 Board PCB Card TVB3102-1/SIO P-8 Used Working8AMAT Applied Materials 0100-90851 H.V/A.MAG Motherboard PCB 0100-90015 Used1AMAT Applied Materials 0100-90941 H.V/A.MAG Motherboard PCB 0100-90015 Used1PTI Progressive Technologies 12612G01 Automated Exhaust System Sentry 1000 Used1Granville-Phillips 274012 Ionization Pressure Gauge Tube Assembly Used Working2Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working2Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working1Granville-Phillips 275203 Convectron Pirani Vacuum Gauge 275 Used Working2bbb Tokyo Electron UI-120A Uniwire System I/O Channel Interface Card P-8 Used4Nikon 4S019-235 Interface PCB Card C/D_I/F NSR-307E Used Working1ASM Advanced Semiconductor Materials 03-20930 PCB Card 02-15839 Used Working1ASM Advanced Semiconductor Materials 03-21127 PCB Card 02-15467-01 Used Working1ASM Advanced Semiconductor Materials 03-21022 PCB Card 02-15912 Used Working1ASM Advanced Semiconductor Materials 01-18100 HiPEC Motion Solution Rack Used1Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. D.1 Used2Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F Used1Schumacher 1730-3002 Reservoir Controller PCB Card 1731-3002 Rev. G Used2Schumacher 1000000-462-002 Cabinet Controller PCB Card 1731-3003 Used Working1Omron 3G8B2-NI001 Interface PCB Card bbb Tokyo Electron 3286-002066-11 P8 Used4Schumacher 1730-3009 I/O bbbbb Output Controller RCI-M PCB Card J0309064-2 Used3DIC Corporation SD16L-24SHI E eFLOW Resistivity Meter Unit Used Working1AMAT Applied Materials 9090-00791 ITL 29Z Power Supply Assembly Used Working1GaSonics 90-2670 PCA LED and Interface Panel PCB A89-013-01 A-2000LL Used1Varian L6281-701 Pnumatic Angle Valve NW-16-A/O L6281701 Used Working1Varian 233355096 Pnumatic Vacuum Angle Valve NW-16-A/O Used Working7RECIF Technologies SPPF50A60000 Wafer Handler Assembly SPP300F05 SPP300 Used1Schlumberger 97914014 Relay Switch Board PCB 40914014 Used Working1ASM Advanced Semiconductor Materials 02-33082 HiPEC Power Supply Assembly Used1AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP Used Working1AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP Used Working1AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used1AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used2AMAT Applied Materials 9010-01382ITL SiF4 MFC Gas Panel Assembly Celerity Used1Mydax M1004D Power Interface Board PCB Chiller 1VL5WA1 Used Working1Asyst Technologies 9700-5158-01 300mm Loadport SIMF-300FL Used Working2Nikon 4S587-735 Motor Amplifier VCM AMP Used Working3bbb Tokyo Electron 3281-000146-12 PCB PST-STD Board Card 3208-000146-11 P-8 Used4bbb Tokyo Electron 3281-000146-12 PCB PST-STD Board Card 3208-000146-11 P-8 Used7KLA Instruments 6001755-03 bbb P-8 Video Board Working1KLA Instruments 6001755-03 bbb P-8 Video Board Working7Mydax M1003D I/O Interface Board PCB Chiller 1VL5WA1 Used Working1Mydax M1009A Single Relay Interface Board PCB Chiller 1VL5WA1 Used Working1Mydax M1009A Dual Relay Interface Board PCB Chiller 1VL5WA1 Used Working1Mydax M1007 LED Power Supply Board PCB Chiller 1VL5WA1 Used Working1Mydax M1001E Chiller Operator Interface Controller Panel 1VL5WA1 Used Working1AMAT Applied Materials 0010-13967 OFT Centerfinder Receiver 300mm Centura Used5AMAT Applied Materials 0010-76968 OFT Centerfinder Emitter 300mm Centura Used4Proteus Industries 9812SA19P3 Flow Meter AMAT 0190-09338 Used Working1Yaskawa 410000-8600 Robot Controller ERCJ-CRJ3-B00-CN bbb PR300Z Used Working3Yaskawa 410000-8600 Robot Controller ERCJ-CRJ3-B00-CN bbb PR300Z Used Working1Digital Electronics UF7811-2-DV2S-24V Operator Panel bbb PR300Z Used Working4Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel Used Working1Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel Used Working1SMC VNB301AS Pneumatic Process Valve Reseller Lot of 2 Used Working1AMAT Applied Materials Centura RF Cable Set of 2 23 Foot 7 Meters Used Working1KLA Instruments 6001756-05 bbb P-8 Video Board Working1KLA Instruments 6001756-05 bbb P-8 Video Board Working7Swagelok SS-BN8FR8-C High Purity Valve Stainless Reseller Lot of 2 Used Working1Swagelok SS-BNV51-DU-C Bellows Sealed Valve Reseller Lot of 2 Nupro Used Working2Nikon KAB11000/AL101-0 Standard Microscope OPTISTATION 7 KAB11005/302/38-0 As-Is1RECIF Technologies CPUCH0027 Fan Filter Unit Moterboard PCB MOBBH0191 Used1RECIF Technologies DISDH0132A Interface Board PCB PCB0132A Used Working1RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A Used Working1bbb P-8 Prober PST-OPT Board 3281-000129-11 working2bbb P-8 Prober PST-OPT Board 3281-000129-11 working7RECIF Technologies INTAH0251 Lighting Interface Board PCB PCB0251 Used Working2Omron V400-F050 2D Code Reader Camera 25mm TV Lens with Cable Used Working7MORITEX Corporation MBRL-CW5015 White High Intensity LED Array Used Working5Komatsu 20000300 Temperature Controller AIH-64QS-T5 bbb PR300Z Used Working8RECIF Technologies DISAH0482 A Interface Board PCB PCB0482 D Used Working1Matrox 63039620227 Image Processing Module SBC F2M56C00000AS0R 4Sight II Used1bbb Tokyo Electron 3281-000080-11 I/O PCB Card TVB9003-1/316 P-8 Used Working3bbb Tokyo Electron 3281-000080-11 I/O PCB Card TVB9003-1/316 P-8 Used Working5RECIF Technologies PCOBH2500B STD Processor Board PCB STD\H2500A Used Working1Matrox 7121_00 4Sight II Acquisition Module Board PCB Used Working1RECIF Technologies PCOFH2500B STD Processor Board PCB STD\H2500A Used Working1Minicom 1DT12006 Duet PC Splitter Industrial KVM Reseller Lot of 2 Used Working1RECIF Technologies STDAH0543 A LED Interface Board PCB PCB0543 A Used Working1RECIF Technologies ZS0000705-8Q ChemGuard Tool Interface Board PCB AP1555 Used1bbb Tokyo Electron 3281-001184-17 PCB Card TVB6004-1/QMC3 P-8 Used Working24bbb Tokyo Electron 3281-001184-17 PCB Card TVB6004-1/QMC3 P-8 Used Working6Hugle Electronics 510TS Ionizing Bar 39.5" bbb Tokyo Electron PR300Z Used2Hugle Electronics 510TS Ionizing Bar 39.5" bbb Tokyo Electron PR300Z Used4bbb Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 w/Encloser Used4bbb Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 w/Encloser Used2bbb Tokyo Electron 847 ADH Adhesion Process Station ACT12-300 300mm Used Working2bbb Tokyo Electron 2981-600595-11 CONN CT SSR #01 PCB Board 2908-600595-11 Used1bbb Tokyo Electron 838 SHU Shuttle Slider Assembly ACT12-300mm Used1PTI Progressive Technologies 12042 Operator Interface Keypad TIM-100 Used4Cognex 800-5829-1R A Fixed-Mount ID Reader Set In-Sight 5410R InfiniStix Used1STEC PAC-D2 Special Purpose Power Source Controller Used Working1Cambridge Fluid Systems 0010-91663 Gas Panel Used Working1Mitsubishi MR-J2S-20B-S087 AC Servo MELSERVO bbb PR300Z Copper Exposed Used2Modus Instruments DWS01P0RFRR15080 Display Alarm bbb PR300Z Copper Exposed Used1Digital UF-EXX01-bbb1 Flat Panel Extender Unit bbb PR300Z Copper Used Working1bbb Tokyo Electron EC80-000184-12 5-Port Hub TEB004-12/GOHUB PR300Z Copper Used2Cosel AC3-OHRR-00 Power Supply ACE300F bbb PR300Z Copper Exposed Used Working1Yaskawa YR-CRJ3-A00 Robot MOTOMAN bbb Tokyo Electron PR300Z Copper Used Working1MKS Instruments AS01391-21 CDN391R Board PCB Card AMAT 0190-24115 Used Working2DIP Incorporated 15039603 CDN396 Board PCB Card AMAT 0190-01270 Used Working1Thermonics 1B-080-1A In Out Processor PCB Card T2400R I/O Used Working1Thermonics 1B-079-1D CPU Processor PCB Card 1B-079-XA Used Working1Thermonics 1B-086-1A Flow Control Board PCB 1B-086-1D Used Working1Thermonics 1B-100-XA 2420 Boom Head Control Board PCB 1B-100-1D Used Working1Thermonics 1B-087-1A Heater Control Board PCB 1B-087-1D Used Working1Thermonics 1B-084-1A T2420 Mother Board Backplane PCB 1B-084-1D Used Working1bbb Tokyo Electron 3D81-000102-V1 Interface Board PCB TYB61C-1/TOP1 Used Working1bbb Tokyo Electron 3D81-000035-V2 Interface Board PCB TYB62C-1/TOP2 Used Working1bbb Tokyo Electron 3D81-000063-V5 Processor PCB Card TYB517-1/IOAS Used Working1bbb Tokyo Electron 3D81-000063-V5 Processor PCB Card TYB517-1/IOAS Used Working2Nikon 4S018-675-D-IO-1(3) Processor Board PCB J1PC103B Used Working1Nikon 4S008-114 Processor Board PCB ALGAF-P/A-X4+ Used Working3Nikon 4S008-115- Processor Board PCB ALGAF-P/D-X4+ Used Working3Nikon 4S008-115- Processor Board PCB ALGAF-P/D-X4+ Used Working1Reid Ashman Manufacturing RA21688 Distribution Board PCB Used Working1Reid Ashman Manufacturing RA16220 Granite I/O Processor Board PCB Used Working1Poly-Flow Engineering EA-019 Auto Flow II Micro Controller Board PCB Used1Nikon 4S018-793-B bbbbb Output Processor Board PCB PRE2 I/O-4 Used Working2Nikon 4S019-198 bbbbb Output Processor Board PCB PRE2 I/O-3B Used Working1Hitachi MTIF01 #2201 Interface Connection Board PCB I-900SRT Used Working1Nikon 4S008-181 Audio Video Processor Board PCB AV-I/FX4B Used Working1Nikon 4S008-049-D Interface Board PCB alg-Z8277 Used Working1Nikon 4S008-052-C Processor PCB Board X4-AIS A-554 NSR Series Used Working1Nikon 4S013-482 Interface Board PCB STGX42B Used Working4Nikon 4S013-484 Interface Connector Board STGX44B PCB Used Working1Nikon 4S013-393 Interface Connector Board STGX42A PCB Used Working1bbb Tokyo Electron 3D81-000098-V1 Network Board PCB E67920 TYB515-1/I016 Used3bbb Tokyo Electron 3D81-000031-V3 Interface Board PCB E67920 TYB62A-1/VCONT Used3Kulicke and Soffa Industries 01471-4000-000-12 Processor Board PCB Card Used1Kulicke and Soffa Industries 01471-4000-000-13 Processor Board PCB Card Used1Kulicke and Soffa Industries 01471-4000-000-14 Processor Board PCB Card Used1Kulicke and Soffa Industries 01471-4000-000-15 Processor Board PCB Card Used1Kulicke and Soffa Industries 01482-4001-000-02 Processor Board PCB Card Used1Kulicke and Soffa Industries 06100-4006-000-03 Processor Board PCB Card Used1JAE KT000983 6 Axis Vibration Measurment Unit Nikon 4S587-588 NSR-S307E DUV Used1Queensgate NS2303/A bbbbbbbb Sensor Unit Nikon 4S288-271 NSR-S307E DUV Used3Queensgate NS2300/A bbbbbbbb Sensor Unit Nikon 4S587-005 NSR-S307E DUV Used2Queensgate NS2300/D bbbbbbbb Sensor Unit Nikon 4S288-213-1 NSR-S307E DUV Used11Setra 204 Pressure Transducer 0-700 KPA Nikon NSR-S307E DUV Lot of 8 Used1Edwards D37272800 Pump Display Module Terminal Controller Used Tested Working1Agilent Z4208-68001-23-0645-00010 Controller PCB Z4208B VME Card NSR-S307E Used1Nikon 4S019-288-1 Laser Interface VME Card IFSIGCOR NSR-S307E 300mm DUV Used4Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S307E Used1Agilent Z4208C Controller VME Board E1845-60001 Nikon NSR-S205C Used Working1Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C Used Working5Vaisala HMPNIK-S3-A1B0A1EE12A1A3A Humidity/Temperature Transmitter Used1Vaisala HMPNIK-S2-A1P0A2EE12C1N1A Humidity/Temperature Transmitter Used Working1Omron S82D-3024 Power Supply Used Working1SanRex HKD-1510BT Survey Mode bbbbl Surface Treament Power Supply Used Working1Nikon LS353-01-030 Interface Processor Board PCB LANRCSLIFX4 Used Working1Screen SL-2210-FC Network Control Module MMC057g Used Working1Screen SL-2210-FC Network Control Power Modules SL2220-C Lot of 2 Used Working1SynOptics 920-368-A DC/DC PCB 480-335-A 75W Used Working1AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working1NSK ESA-J2006AF3-2 Theta Axis Servo Motor Driver bbb Clean Track ACT12-300 Used2Yaskawa SGDS-01A72A AC Servopack SGDS 4P2158-1-2 Used Working1ASML Prodrive 6001-0202-2801 Controlled Power Module CPM-P20 4022-470-8838 Used6Modus Instruments DA-1-09E-0-RFRR Display Alarm Lot of 2 Used Working1Nikon 4S018-716 Relay Control Board PCB OPDCTRL3 NSR-S307E DUV 300mm Used3Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working3Nikon 4S019-156 Processor Board PCB AVDRVX4B NSR-S307E DUV 300mm Used Working6Nikon 4S015-261 Processor Control Board PCB NK-C44-60R NSR-S307E DUV 300mm Used18Nikon 4K177-955-1 Robot Controller Tazmo RRW-07 V4.2 NSR-S204B Used Working2Kulicke and Soffa Industries 01471-4001-000-01 Processor Board PCB Card Used1Kulicke and Soffa Industries 01483-4027-000-02 Video I/F Board PCB Card Used1Kulicke and Soffa Industries 00835-4580-000-71 Interface Board PCB Card Used1Kulicke and Soffa Industries 1471-4013-0-01 Relay Board PCB Card Used Working1Kulicke and Soffa Industries 00835-4509-000 Wire List Board PCB Card Used1Omron S82J-05024D Open Frame Power Supply 29431600102-A3 Reseller Lot of 6 Used1Oriental Motor K0366-D Brushless DC Motor Driver Used Working3bbb Tokyo Electron HA-009 Digital In Out Board EXT DIO #02 PCB Used Workin1Kokusai D2E01080A Power Supply Board PCB PFS/A1 Used Working2Jenoptik Infab 013501-083-17B Interface Board PCB Brooks 013501-090-17 Used2National Instruments 180925C Interface Board PCB SC-2060 Used Working1National Instruments 180935C-01 Interface Board PCB SC-2062 Used Working1RECIF Technologies STDAH0347D Interface Board PCB PCB0347B Used Working1RECIF Technologies STDAH0237A Pneumatic Output Board PCB PCB0237A Used Working1GaSonics 12N-010-13 Inbbb Mechanization Board PCB Card 05003-001 Used Working1RECIF Technologies MOBBH0131D CPU Processor Board PCB CPUAH0027A Used Working1Texas Instruments RI-CPU-0001-03 TI-RFID Board TIRIS RFID PCB Used Working1Texas Instruments RI-STU-MB6A-02 TIRIS RFM Board PCB RI-RFM-104B-01 Used Working1RECIF Technologies PWRAH0168A Power Supply Board PCB PCB0168A Used Working2Asyst Technologies 3200-1145-01 Modulated Photoelectric Amplifier Board PCB Used1Nikon 4S003-028 Relay Resistor Board PCB DCMD-L2 NSR-S306C Used Working1Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Used6bbb Silicon Valley Group 859-0727-010 Shutter Interlock Control PCB A2501 Used1FSI 290104-400 Pneumatic Chemfill Interface Board PCB 209104-200 Used Working2Nikon 4S008-036 Analog to Digital Converter Board PCB EP-X4 Used Working3Therma-Wave 14-002863 Trackball Interface Board PCB 40-002862 Used Working1Nikon Wafer Transfer Robot NSR-S306C 200mm DUV Scanning System Untested As-Is1Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C Used Working6Nikon 4S008-268 Power Supply Board PCB IU-PWR2-SRC Used Working4Nikon 4S013-363 Interface Board PCB BLECX4 Used Working3Daif*cku CTV-3484A Interface Board PCB KK1984V-0 Used Working1RECIF Technologies STDAH0130C Interface Board PCB PCB0130B Used Working2Nikon 4S013-418 Robot Interbbbb Board RBTRLNK PCB Card Used Working1Jenoptik Infab 812100038 131-25 Interface Board PCB 013501-130-17I1 AEz1 Used2IEE 03601-82 Alphanumeric Fluorescent Display Board PCB 30703-03 Used Working1Thermonics 1B-088-1A T2420R Front Panel Board PCB 1B-084-1D Used Working1TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30 B1 TAS300 Load Port Used54TDK TAS-MAIN Processor Board PCB TAS-CPU Rev. 4.30B TAS300 Load Port Used17AMAT Applied Materials 0100-00579 System AC Distribution Board PCB Used Working1Delta Design 1937019-503 2400W PFC Boost Supply Board PCB Rev. G-R Used Working3Delta Design 1937019-501 2400W PFC Boost Supply Board PCB Rev. B Used Working1Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. C Used Working46Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. D Used Working10bbbedyne Harmonic Filter Board 1002363800 Rev. B Used Working2Delta Design 2001-585-000 Power Supply Board PCB 2001-585-002 Used Working5Delta Design 1943355502 Power Supply Board PCB 2001-585-000 Rev. A Used Working1Mean Well PD-2512 Power Supply PCB PD-25-R3 Reseller Lot of 2 Used Working5Delta Design 1662040-501 AC Power Control 1308 Transbbbber Board PCB Rev. H Used1Motorola 0708601 SBC Single Board Computer PCB 01-W3527F Rev. F/C4 Used Working2ASM Advanced Semiconductor Materials 03-185614-02 WHS Sensor I/F Board PCB Used1ASM Advanced Semiconductor Materials 03-186004D01 Option I/F Board PCB Used1Delta Design 1941692502 Pick and Place Interface Board PCB Rev. F V1.47 Used1Schlumberger IX Pin Slice Single Board 97923140 Rev. 3 Used Working1Delta Design 1941692-501 Pick and Place Interface Board PCB Rev. F V1.47 Used1Delta Design 1947941601 Pick and Place Interface Board PCB Rev. D Used Working1Hitachi 571-71127 Hg-Lamp bbbbbbbb Monitor LMPMON1 571-7117 PCB I-900SRT Used1Horiba STEC HC-100A Readout Display Module HC-100 RKC REX-C100 Used Working1Coherent 0169-628-04AA Laser Emission Control Module 170C Innova Used Working5Tokyo Flow Meter USF100A-K10EP Ultrasonic Flow Meter USF100A Honda Lot of 2 Used2Tokyo Flow Meter USF100A-K15EP Ultrasonic Flow Meter USF100A Honda Lot of 2 Used2Honda Electronics USF100A-G20EP Ultrasonic Flow Meter USF100A Lot of 2 Used1+GF+ Signet 3-8750-1P pH/ORP Transmitter with 3-2720 Preamplifier Assembly Used1Schlumberger Control Board 97924121 Rev. D Used Working2Yamatake C40L6D0AS050D0 SDC40L Digital Indicating Controller SDC40 Used Working3ASML 4022.472.4428 Programmable Logic Device VME PCB Card Used Working7ASML 4022.471.5745 HSSL Processor VME PCB Card Used Working1ASML 4022.471.63192 Indicator Processor VME PCB Card Used Working1ASML 4022.471.8128 DC-DC-Converter VME PCB Card Used Working1ASML 4022.471.5027 VME Timing Control TCB Syncbus Trigg PCB Card Used Working1ASML 4022.471.63637 Fiber Optic Status Processor VME PCB Card Used Working1SBS Technologies 91415171 IP-Octal Plus-232-S00003 PCB AMAT 0190-12159 New1ASML 4022.471.6292 DC to DC Convertor Board PCB Card 05 Used Working1ASML 4022.471.6702 Interface Board PCB Card 16 4022.471.66981 Used Working1bbbedyne 1001086000B VC-99 Interface Board working2ASML 4022.471.6700 Interface Board PCB Card 15 4022.471.66981 Used Working1ASML 4022.471.6697 Interface Board PCB Card 14 4022.471.66981 Used Working1ASML 4022.471.6285 Processor Board PCB Card 10 4022.471.62841 Used Working1ASML 4022.471.7420 Processor Board PCB Card 16 4022.471.74221 Used Working1ASML 4022.471.7421 Processor Board PCB Card 17 4022.471.74221 Used Working1ASML 4022.471.6276 Processor Board PCB Card 11 4022.471.62751 1/6 Used Working1ASML 4022.471.6282 Processor Board PCB Card 13 4022.471.62811 Used Working1ASML 4022.471.6607 AT IM ZZA T1100 RP Board PCB Card 19 4022.471.61591 Used1ASML 4022.471.7852 Interface Board PCB Card 18 4022.471.78531 Used Working1ASML 4022.471.6980 Interface Board PCB Card 20 4022.471.69811 Used Working1ASML 4022.471.6678 Interface Board PCB Card 17 4022.471.66791 Used Working1ASML 4022.471.6782 Interface Board PCB Card 03 4022.471.62591 Used Working1ASML 4022.471.6341 AT IM ISB2-3 RP Board PCB Card 09 4022.471.62721 Used1ASML 4022.471.6279 AT IM MC2 RP Board PCB Card 12 4022.471.62781 Used Working1ASML 4022.471.7155 Interface Board PCB Card 19 4022.471.71601 Used Working1ASML 4022.471.7156 Interface Board PCB Card 20 4022.471.71601 Used Working1ASML 4022.471.7157 Interface Board PCB Card 22 4022.471.71601 Used Working1ASML 4022.471.7158 Interface Board PCB Card 23 4022.471.71601 Used Working1ASML 4022.471.7159 Interface Board PCB Card 25 4022.471.71601 Used Working1bbbedyne 1001840702A Power Supply Board working2ASML 4022.471.7153 Interface Board PCB Card 25 4022.471.71541 Used Working1ASML 4022.471.7152 Interface Board PCB Card 28 4022.471.71541 Used Working1ASML 4022.471.6712 Interface Board PCB Card 12 4022.471.56171 Used Working1ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531 Used Working1ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581 Used Working1ASML 4022.471.5845 Interface Board PCB Card S14 4022.471.58481 Used Working1ASML 4022.471.4504 MSL LED Indicator Board PCB Card Used Working1ASML 4022.471.6707 Interface Board PCB Card 01 4022.471.67081 Used Working1ASML 4022.471.7726 DC/DC Converter Board PCB Card 4022.471.77311 Used Working1ASML 4022.471.6943 DC/DC Converter Board PCB Card 02 4022.471.69441 Used1ASML 4022.471.7281 Relay LED Indicator Board PCB Card Used Working1ASML 4022.471.5673 Encoder Board PCB Card 100-0000-114 Used Working1ASML 4022.471.6418 Capacitor Board PCB Card Used Working1Motorola 01-W3839F25A MVME 2431 Processor PCB Card ASML 4022.470.6469 Used5ASML 4022.471.6363 Fiber Optic Processor VME PCB Card 4022.471.63651 Used5Nikon 4S065-531 Control Module LZR-OPT-2 NSR-S620D ArF Immersion Used Working1bbb Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve CKD AMF-V-X1 Lithius Used1bbb Tokyo Electron 5087-400486-15 Dev.Solution 2 Valve CKD AMF-V-X1 Lithius Used3bbb Tokyo Electron 5087-400486-15 Dev.Solution 1 Valve CKD AMF-V-X1 Lithius Used1bbb Tokyo Electron 5087-400486-15 Dev.Solution 1 Valve CKD AMF-V-X1 Lithius Used4CKD AMF-V-X1 Valve AMF bbb Dev.Solution 2 5087-400486-15 Lithius DEV System Used16CKD AMF-V-X1 Valve AMF bbb Dev.Solution 1 5087-400486-15 Lithius DEV System Used14Nikon 4S604-203-1 Magnetic Linear Rail ULSI-2390-NQ21 NSR-S307E DUV Used Working1Nikon 4S604-201-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV Used Working2Nikon 4S604-203-2 Magnetic Linear Rail ULSI-2390-NQ11 NSR-S307E DUV Used Working1Nikon 4S587-625 AVIS2 ERG Amplifier SEA241A NSR-S307E DUV 300mm Used Working5Nikon Power Supply Module 4S001-107 NSR-S307E DUV 300mm Used Working3Regal FS-30S Flow Sensor Lot of 8 Used Working1Regal FS-30S Flow Sensor Lot of 8 Used Working2Nikon Power Supply Module 4S001-107 NSR-S307E DUV 300mm No Cover Used Working1Nikon Power Supply Module 4S001-102 NSR-S205C Step-and-Repeat Used Working2Kensington Laboratories WFH3B TT/LR/HS Wafer Handling Robot Used Working1bbb Tokyo Electron OEM Operation Manual Set Clean Track Lithius Used3bbb Tokyo Electron 5093-M00118-11 Electrical System Diagram Manual Lithius Used1Kensington Laboratories 4000D Servo bbbbbbbbing Controller Tilt and Scan Used1RKC Instrument REX-F7 High Limit Controller 0-400°C Used Working6AVAL Data AVME-142 Processor Board PCB MPU-42 TSA-235C Used Working1AVAL Data AVME-352 6 Channel Interface PCB Board SIO Used Working3Motorola MVME-162-223 VME Interface Board PCB 01-W3059F Used Working1Tachibana Tectron TVME2500 VME PCB Card NEC-16T Rev. B TVME2500-CRD Used Working1Kuroda UV-510 Uniwire VME Interface Board PCB PB-0792-A IG6 Used Working3Nikon 4S013-487 Interface Board PCB IFIOPIF3 VME Card 4S015-259 NSR-S205C Used2Nikon LANRCSLIFX4 Interface Board PCB FarmVer. 1.01 NSR-S307E DUV 300mm Used4Nikon 4S001-093 Power Supply Board PCB PW-NK NSR Used Working5Nikon 4S001-092 Power Supply Board PCB PW-NK NSR Used Working1Nikon 4S001-061 Power Supply Board PCB PW-NB NSR-S205C Used Working1Nikon 4S018-852-3 Detector Board PCB EP-GW 1-677-707-13 NSR-S205C Used Working1Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working5Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working1Nikon 4S013-355 Control Board PCB LSIOP-A 4S015-227 NSR-S307E DUV Used Working1Nikon 4S018-922-B Control Board PCB IU-DRV1-X4P NSR-S307E Used Working1Nikon 2S700-555 Video Sync Board OST MST PCB 2S015-064-4 V5.16A Used Working2Nikon 4S015-316 CPU Control PCB Card ACP-112(Slave) NSR-S307E Used Working3Nikon 4S015-315 CPU Control Card PCB ACP-112(Master) NSR-S307E Used Working1Nikon 4S085-431-1 Carrier Module Card PCB 4S025-171 4S019-414-1 Used Working1Nikon 4S001-070-1 Power Supply Card PCB PW-NE DDP-029-A Used Working3Nikon 4S005-342 Interface Board PCB KAB11000/3401-1 Nikon OPTISTATION 7 Used Working5Nikon 4S018-768 Processor Board PCB NA-IOP-I/F PRT M-040 Used Working1Nikon 4S018-768 Processor Board PCB NA-IOP-I/F PRT M-040 Used Working1Nikon 4S003-057 Relay Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 Used5Nikon 4S018-402 Interface Board PCB MTH-8SH Used Working1Nikon 4S018-866 Relay Control Card PCB PPD3X4 NSR-S205C Step-and-Repeat Used1Nikon 4S019-147-A Processor Control Board PCB REX-MTR NSR Used Working4Nikon 4S001-122-1 Power Supply Card PCB MSE378 NSR Used Working1Nikon 2S700-323-2 Relay Card PCB S-AF(H) 2S020-020-5 Used Working2Nikon 2S005-228-3 Relay Control Board PCB MCR-CNTRL2 Optistation 7 Used Working5Nikon 4S020-207-1 Processor Control Board PCB AF-CNT Optistation 7 Used Working5Nikon 4S019-461 Processor Card PCB BSA-OTH CAB03NK037 NSR Used Working2Nikon 4S019-460 Processor Card PCB BSA-MOT CAB03NK036 Used Working2Nikon 4S007-878-2 Interface Board PCB AF-I/FX22 NSR-S204B Step-and-Repeat Used1Nikon 4S007-879-1 Interface Board PCB AF-PSDX21 NSR-S204B Step-and-Repeat Used1Nikon 4S014-145 Interface Bus Board PCB AF-BUSX2 NSR-S204B Step-and-Repeat Used1Nikon 4S015-130-1 Interface Card PCB NK-C31D21 NSR-S204B Step-and-Repeat Used10Nikon 4S025-340-1 Interface Control Card PCB STGX8_HT NSR-620D Used Working1Nikon 4S025-350 RemoteIO-Comm Card PCB NSR-S620D Immersion Scanner Used Working2Nikon 4S015-172-1 Processor Card PCB NK-C441-1-50 NSR-S205C Used Working3Nikon 4S025-300-1 Interface Board PCB X8_WLDB NSR-S620D Immersions Used Working2Nikon 4S018-867 Proccesor Control Card PCB PPD3X4-I/F NSR 4S015-227 Used Working2Nikon 4S001-060 Power Supply Card PCB PW-NA NSR-S205C Step-and-Repeat Used3Nikon 4S025-356 IO-COM4 Interface Card PCB 4S013-768 NSR-S620D Used Working3Nikon 4S018-870-B Interface Board PCB ALGMTH-X42 NSR Used Working2Nikon 4S019-061 Processor Card PCB AVDRVX4VE NSR-S205C Step-and-Repeat Used1Nikon 4S018-723 Relay Board PCB PWMDRV1 NSR SEK-K Used Working2Nikon 4S001-065 Power Supply Card PCB MSE182C NSR Used Working1Nikon 4S018-693 Processor Control Card PCB FPIF-IMAC NSR-S307E DUV Used Working3Nikon 4S013-510 Interface Card PCB SPAIFX4B NSR-S307E DUV Used Working1Nikon 4S014-178 Linear Pulsemotor Control Card PCB ULYCNT NSR-S307E DUV Used8Nikon 4S018-168 Interferometer LC IF Card PCD LCLUIF NSR-S204B Used Working1Nikon 4S003-057 Interface Board PCB STG-I/F1 KAB11005/501-0 Optistation 7 Used1Nikon 4S018-885 Interface Board PCB CPCI-IF NSR-S307E DUV Used Working1Nikon 4S025-719 Processor Control Card PCB STGXBV1_SL-WS NSR-S620D Used Working1Nikon 4S022-001 Single Board Computer IF3X8-2 NSR-620D Immersion Used Working1Nikon 4S015-499-FP Single Board Computer PCB NK-MPC7457 NSR-S620D Used Working4Nikon 4S025-072-1 Processor Card PCB IF2X8-HUB NSR-S620D Immersion Used Working3Keithley Multimeter 177 Microvolt DMM Used Working1Nikon 4S025-563 Interface Control Card PCB AFX8IF NSR-S620D Immersion Used2Nikon 4S001-142 Power Supply Relay Card PCB AFX8PW NSR-S620D Used Working2Nikon 4S008-119-1 Processor Control Card PCB XYDRV SNR-S307E DUV Used Working3Nikon 4S018-705 Relay Board PCB RL-LøHSP NSR-S204B Step-and-Repeat Used Working1Nikon 4S025-492 Processor Control Board PCB BK_WARIO NSR-S307E Used Working1Nikon 4S025-282-1A Interface Control Card PCB X8_WLIO NSR-S620D Used Working1Nikon 4S015-501-1 Single Board Computer PCB STG51 NSR-S620D Used Working7Nikon 4S019-645-1 Processor Control Card PCB AFX6BD1-MAIN NSR-S620D Used Working3Thermo Gas Tech Genesis Gas Detector working1Nikon 4S018-767 Interface Control Board PCB L.TEMPX4 NSR Used Working1Nikon 4S018-767 Interface Control Board PCB L.TEMPX4 NSR Used Working1Nikon 4S019-083 Interface Adapter Card PCB RST-ADPT NSR-S307E DUV Used Working1bbb Tokyo Electron 850 CPL Transition Chill Plate ACT12 200mm Used Working1HP Hewlett-Packard Pulse Function Generator 8116A Used Working1Kensington Laboratories CSMT Scanner and Tilt Arm Assembly CSMT-4 Used Working1Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-01 55010-10 Used Working8Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-51 55010R-10 Used Working2Edwards D37215252 NIM Pump Net PCB Assembly 801-1047-51 55010R-10 Used Working11Edwards D37232212 iH Sim NIM Board PCB 801-1047-01 Used Working1Edwards NRY0RH402 Vacuum Pump Interface Cable Reseller Lot of 6 Used Working1HP Hewlett-Packard D2572B System Control PC with Monitor Kensington CSMT-4 Used1Hitachi 560-5530 COL-CN2 Power Relay PCB Hitachi S-9300 CD SEM Used Working3bbb Tokyo Electron HTE-IFA-B-W1 IFB Add On Board PCB TAB5300-W ACT12 Used Working1Yokogawa DR1200A00 *1C/96S2895 DD Servo Actuator bbb Tokyo Electron ACT12 Used1Asyst 9700-6584-01 Advan Tag RFID Reader PB 90M with 9700-6224-02 Sensor Used1Asyst 9700-6584-01 Advan Tag RFID Reader ATR-9000 with 9700-6224-02 Sensor Used1Axcelis Microwave Waveguide Assembly ASTeX GL219 2M256 Untested As-Is1bbb Tokyo Electron X AXIS (CRA) IPL Career Station 300mm Wafer Handler Lithius Used2Kniel 303-013-04 .03 Power Supply PCB Card CP 8,5.2/SEMI ASML 4022.476.01311 Used1Aerotech MXH50-D-16M High Res Encoder Signal Multiplier EFN01009 Y-Axis Used2Aerotech MXH50-D-16M High Res Encoder Signal Multiplier EFN01009 X-Axis Used2Kniel 314-200-04 .03 Power Supply PCB Card CP 8,5.2/SEMI ASML 4022.436.72661 Used1Fisher Berkeley B00111-06 Ektacom Volume Module PE-14-R Used Working2Panasonic MSMA022S2G AC Servo Motor Clean Track Lithius Used Working1Panasonic MSMA041A1F AC Servo Motor MSMA041A43 Shimpo Reducer VRKF-9C-400 Used1Yaskawa SGDA-02A12A Servo Drive Servopack 200V Used Working1bbb Tokyo Electron 2985-423760-W3 IFB Interface Block Cooling ACT12-300 Used2Aera FC-D985CT-BH Mass Flow Controller MFC FC-D985C 100 CCM 4%H2/N2 Used Working2KLA Instruments Power Supply Rack KLA-Tencor AIT Fusion Used Working1bbb Tokyo Electron CPC-G229A01B-11 Pump Connection Board Clean Track ACT12 Used1Pro-Face GP377R-TC41-24V HMI Graphic Control Panel GP377R-MLTE41 Used Working1Yamatake DMC50 Multi-Loop Controller Set of 3 DMC50CS DMC50M Used Working1KLA-Tencor 0024480-000 Single Lens Right Assembly 0019774-000 AIT Fusion UV Used1KLA-Tencor 0022418-000 Reflector Lens Rev. AA AIT Fusion UV Used Working1Ebara 305W PUMP CONTROLLER Used2Aera FC-D980C MFC Mass Flow Controller Multi N2CF 1.000 USED1Aera FC-985C MFC MASS FLOW CONTROLLER 20SCCM CH2F2 USED1Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 50SCCM C4F6 USED4Tylan General FC-2902MEP5 MFC MASS FLOW CONTROLLER 10SCCM O2 USED1Aera FC-D985C MFC MASS FLOW CONTROLLER 25SCCM CH2F2 USED1Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 200SCCM CL2 USED1Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 20SCCM O2 USED1Tylan General FC-2902MEP MFC MASS FLOW CONTROLLER 20SCCM C4F8 USED1Tylan General FC-2902MEP-T MFC MASS FLOW CONTROLLER 1000SCCM O2 USED1Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 5000SCCM O2 USED1Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 100SCCM O2 USED2Aera FC-D980C MFC MASS FLOW CONTROLLER Multi-2 USED8Aera FC-D980C MFC MASS FLOW CONTROLLER Multi 4 USED5Aera FC-D980C MFC MASS FLOW CONTROLLER Multi-5 USED3Aera FC-D980C MFC MASS FLOW CONTROLLER Multi-1 USED2Aera FC-D980C MFC MASS FLOW CONTROLLER 10SCCM O2 USED3Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM C5F8 USED2Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM SF6 USED1Aera FC-980C MFC MASS FLOW CONTROLLER 50SCCM SF6 USED2Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 50SCCM O2 USED2Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 100SCCM CF4 USED1Aera FC-985CT-BF MFC MASS FLOW CONTROLLER 150SCCM CF4 USED1Aera FC-D980C MFC MASS FLOW CONTROLLER 100SCCM CHF3 USED1Horiba STEC SEC-7440MC-302 MFC MASS FLOW CONTROLLER 500SCCM CO USED1Aera FC-D980C MFC Mass Flow Controller 20SCCM CF4F8 USED1Horiba STEC SEC-7440MC-302 MFC MASS FLOW CONTROLLER 20SCCM He USED1Tylan General FC-2950MEP5 MFC MASS FLOW CONTROLLER 100SCCM CL2 USED1Aera FC-980C MFC MASS FLOW CONTROLLER 5RA 50SCCM O2 USED1Aera FC-D980C MFC Mass Flow Controller 200SCCM Ar USED1Ebara 217407 PUMP CONTROLLER w/EMO switch USED1ATMI CAMP-000235 CANISTER NEW5MKS Instruments 252D-1-VPO Exhaust Valve Controller Type 252 Used Tested Working1UNIT Instruments UFC-8100 MFC Mass Flow Controller 20cc NF3 USED1Tylan General FC-2960 MEP5 MFC Mass Flow Controller 4SLPM N2 USED1Tylan General FC-2960 MEP5 MFC Mass Flow Controller 4SLPM N2 USED1UNIT Instruments UFC-1661 MFC Mass Flow Controller 750SCCM C12 Edge Card D-Sub RJ-45P PID FW: 1.02 USED1AERA FC-980 MFC MASS FLOW CONTROLLER FC-980 20SCCM O21AERA FC-D980C MFC MASS FLOW CONTROLLER FC-D980C 200SCCM N2 5RA1AERA FC-985CT-BF MFC MASS FLOW CONTROLLER 100SCCM CF41TYLAN FC-2950MEP5 MFC MASS FLOW CONTROLLER 2950 200SCCM CL21TURBOTRONIK NT 20 Leybold 855 62 Pump Controller NT20 SW2.5 Tested Working Spare1LPG-1AT ENI LPG-1ATM3 RF Generator 100W @ 450KHz Used Tested Working1Aera FC-D980C MFC Mass Flow Controller 100SCCM N2 USED1Tylan General FC-2960MEP5 MFC Mass Flow Controller 10SLPM N2 USED1Tylan General FC-2960MEP5 MFC Mass Flow Controller 0.05SLPM N2 USED1Tylan General FC-2960MEP5 MFC Mass Flow Controller 500SCCM N2 USED1Tylan General FC-2960MEP5 MFC Mass Flow Controller 20SLM N2 USED1Tylan General FC-2910V MFC MASS FLOW CONTROLLER 2900 50SLPM O2 USED1Blackston Ultrasonics 910217 WJ TANK NEW1Haskris WW1 Chiller sold as-is1Nikon 4S064-957 DC Power Supply VDBC0002902 Used Working1Nikon 4S019-460 PCB Circuit Board BSA-MOT Used Working4Nikon 4S019-461 PCB Circuit Board BSA-OTH Used Working4Nikon TCCNT 2S700-582-1 PCB Circuit Board 2S014-035-5 Used Working7Nikon CCCNT 2S700-583-1 PCB Circuit Board 2S014-036-4 Used Working7Nikon SCNT 2S700-581 PCB Circuit Board 2S014-034-1 Used Working6Nikon PCB Circuit Board SCPU 2S700-580-1 2S014-033-47Daihen SMA-20B Microwave Assembly Hitachi M-712E used working1AMAT Circuit Board Focus PSU Interface 0100-01877 AMAT Quantum working14AMAT Circuit Board Decel PSU Interface 0100-01697 AMAT Quantum working13AMAT 0100-91015 Circuit Board Monitor Interface Rev E AMAT Quantum used working5AMAT Guiding Tube Circuit Board 0090-91085 AMAT Quantum Impanter working5AMAT 0100-94078 Argon Oxygen Bleed and Charge Monitor Circuit Quantum X works7Nikon Circuit Board 4S018-885 CPCI-IF NSR-S307E Nikon Control Rack Sub Alignment1Nikon NSR SEA141A 4S587-624 AVIS1 ERG AMP 4S013-374-2 Nikon NSR-S205C used works3MDG039 SL-3020 Screen Circuit Board PMCDIV PC-97010 DNS Screen FC-30005PG104L-04 Mycom Circuit Board MY5211-047A M4COM DNS Screen FC-30005DNS SL-1011 Screen Circuit Board HLS-VME2 PC-97002A DNS Screen FC-30002DNS SL-3010 Screen Circuit Board COMDIV PC-97009 DNS Screen FC-30001PC-97010 M4COM PC-97002A 252SE PC-97009 DNS Screen FC-3000 Chassis used working1Nikon Sony EP-GW Circuit Board 4S018-852-3 1-677-707-13 BD29A Nikon NSR-S205C3Nikon IFIOPIF NK8601A Circuit Board 4S013-355 4S015-227 Nikon NSR-S307E working3AMAT 0100-01698 Source Magnet Control PCB Quantum X Beamline Rack used working3AMAT 0100-01415 Vendor Interface A Mag PCB Quantum X Beamline Rack used working12AMAT Vendor Interface Pre-Accel 0100-01319 Circuit Board AMAT Quantum X10AMAT TPDU Monitor 0100-90492 Circuit Board AMAT Quantum X Beamline Control Box17AMAT Contacter Drive 0100-90385 Circuit Board AMAT Quantum X working15AMAT daq Mk11 type H 0090-90967 Circuit Board AMAT Quantum X working25AMAT Applied Materials Beamline Vacuum Control panel 0100-90533 AMAT Quantum X17AMAT Turbo Pump Interface 0100-01326 Circuit Board AMAT Quantum X working15AMAT Vacuum Gauge Interface 0100-90710 Circuit Board AMAT Quantum X Beamline Control Rack Rev D working16AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card Used Working9AMAT Enhanced Purge I/Lock 0100-01925 Circuit Board AMAT Quantum X working9AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working13Nikon 4S017-866-B Circuit Board Interferometer STGLIUIF Nikon NSR Working1Nikon 4S018-379 Circuit Board SPIOX2 Nikon NSR-S204B working1Tylan FC-2979MEP5-WM Mass Flow Control 1.5 SLPM O2 used working1Nikon 2S015-064-4 Circuit Board MST 2S5700-55 working4Nikon Optistation 7 Circuit Board STG-I/F1 2S003-0567 working1Nikon Optistation 7 Circuit Board MCR-CNTRL2 KAB11000/3802-3 2S005-228 working5Nikon Optistation 7 Circuit Board AF-CNT-KAB11110/3201-0 4S020-207-1 working5Nikon Optistation 7 Circuit Board MIC-CNT-KAB11000/3401-1 4S005-342-2S005-2305Nikon 4S001-070-1 Power Supply Board PW-NE working2Nikon 4S018-402 Circuit Board MTH-8SH working2Opal 50312570000 Circuit Board CVC Board AMAT SemVision cX 300mm used working3Nikon 4S014-178 LDYCNT Circuit Board Nikon NSR-S307E Wafer Loader works 03268934Nikon 4S008-119-1 XYDRV Circuit Board Nikon NSR-S307E Wafer Loader works A400173Opal 50312560000 Circuit Board CCS Board AMAT SemVision cX 300mm used works3Opal 50312575100 Circuit Board ETD Board AMAT SemVision cX 300mm used working3Opal 50312540200 Circuit Board DVD Board AMAT SemVision cX 300mm used working3Opal MIS2 Board 30612550000 Circuit Board AMAT SemVision cX 300mm used working3Opal 30612530100 Circuit Board SRA3 Board AMAT SemVision cX 300mm used working3Nikon WL3M0T5 Circuit Board 4S007-953-A Nikon NSR-S204B Wafer Loader working3Ultrapure DI/Glycol Tank used working1Nikon 4S587-470-3 Linear Motor Controller SPA452B Nikon NSR-S307E Wafer Loader4Nikon 4S587-625 AVIS2 ERG AMP SEA241A Nikon NSR-S307E used working5Nikon 4S588-087-1 RF Linear Motor Controller SPA355C Nikon NSR-S307E used works4Nikon 4S587-472-2 Linear Motor Controller SPA454B Nikon NSR-S307E used working1Nikon 4S018-693 FPIF-IMAC Circuit Board Nikon NSR-S307E Wafer Loader working4AMAT 0100-00970 Spin bbbbbb Circuit Board AMAT Quantum X Control Rack working4AMAT 0100-91087 SOL/FIL EXT Interface Circuit Board AMAT Quantum X Control Rack3AMAT 0100-90650 Wheel Current Circuit Board AMAT Quantum X Beamline Control Rack4AMAT 0100-01844 Charge Voltage Circuit Board AMAT Quantum X Beamline Contrl Rack4Nikon 4S019-147-A Circuit Board REX-MTR Nikon NSR5Nikon 4S003-057 Circuit Board STG-I/F1 KAB11005/501-0 NikonOptistation 7 works6Nikon 4S018-723 Circuit Board PWMDRV1 Nikon NSR3Nikon 4S018-922-B Circuit Board IU-DRV1-X4P Nikon NSR working1DIP 294-2 Device Net I/O Block 9090-00273 AMAT Quantum X Process Module working17Nikon 4S001-122-1 Circuit Board Nikon NSR2Nikon 4S019-432 C-Power Module Circuit Board Nikon NSR2Nikon 4S015-315-ACP-112 Circuit Board Nikon NSR2Nikon 4S015-316-ACP-112 Circuit Board Nikon NSR6Nikon 4S018-768-NA-IOP-I/F Circuit Board2AMAT Applied Materials 9090-00314 Circuit Board daq Mk11 Type H1Nikon 4S001-093 Circuit Board Power Supply Nikon NSR6KLA Tencor 376299 Rev AB ISA13/PCI6 Backplane Circuit Board KLA SP1-TBI working1KLA-Tencor Operator User Interface Surfscan SP1 TBI Untested As-Is2Nor-Cal Products ESV-1002-NWB Manual Angle Isolation Valve used working2Nikon 2S700-323-2 PCB Circuit Board 2S020-020-5 Used Working3Festo LR-M1-G1 Regulator Valve Lot of 8 used working1SMC SS5T3-42-04-CSF-Q Z-3797 Manifold SY3340R-5LOU-Q SY3240-5LOU-Q Lot of 91Nikon NSR-S204B Pneumatic Block used working1Nikon 4S013-510 PCB SPAIFX4B Nikon NSR-S307E used working1Nikon NSR-S205C Wafer Stage Cable Guide Main Body Used Working1Nikon NSR-S205C 4S018-867- PPD3X4-I/F Circuit Board w/ Aux Board used working2Nikon 4S018-866- Circuit Board PPD3X4 Nikon NSR-S205C used working2MKS Type 640 Pressure Controller 640A12TW1VA2V 100 TORR used working1Nikon 4S015-215 LC ADPT Circuit Board Nikon NSR-S307E used working1Nikon 4S587-468-2 WX Linear Motor Controller SPA451A Nikon NSR-S307E used works1Nikon 4S588-087 RF Linear Motor Controller SPA355B Nikon NSR-S307E used working1Nikon IFIOPIF NK8601A Circuit Board 4S013-355 4S015-227 Nikon NSR-S205C working2Nikon LANRCSLIFX4 Circuit Board Assembly Nikon NSR-S307E used working4Nikon 4S001-065 Circuit Board Nikon MSE182C NSR-S307E used working1Nikon 4S019-288-1 Circuit Board IFISIGCOR Nikon NSR-S205C used working5Nikon 4S013-487 PCB IFIOPIF3 w/ 4S015-259 PCB NK8241 Nikon NSR-S307E used works3Nikon 4S019-288-1 Circuit Board IFSIGCOR Nikon NSR-S307E used working8Nihon Koshuha MBA-010-H-2 1kW RF Matching Box Assembly used working1Nikon 4S019-262 Circuit Board IU-DRV2-X4B1 used working2Aera FC-D985CT-BH Mass Flow Controller CF4(0.419) 200 CCM used working2Aera FC-D985CT-BH Mass Flow Controller 4% CH4/Ar 500 CCM used working2Aera FC-D985CT-BH Mass Flow Controller 4% H2/N2 100 CCM used working2Nikon 4S018-870-B Circuit Board ALGMTH-X42 Rev C used working2Edwards NRB086000 Helios Gas Abatement Unit ASM Epsilon 3200 used working1MKS 152H-P0 type 152 Automatic Pressure Controller GaSonic A-2000LL used sold as2AMAT 0100-01485 X Sensor PCB Rev B AMAT Quantum X PRA Rack used working9AMAT 0100-01486 Y Sensor PCB Rev B AMAT Quantum X PRA Rack used working9AMAT 0100-01487 Gripper IN LL PCB Rev B AMAT Quantum X PRA Rack used working3AMAT 0100-01488 Z Sensor PCB Rev B AMAT Quantum X PRA Rack used working4AMAT 0100-01489 E-Chuck PCB Rev A AMAT Quantum X PRA Rack used working4AMAT 0100-01491 Beam Align PCB Rev C AMAT Quantum X PRA Rack used working2AMAT 0100-01490 Faraday Alignment PCB Rev C AMAT Quantum X PRA Rack used working9Shinwa RCV-3-11E-D Motor Valve1Nikon 4S065-531 LZR-OPT-2 PCB Module Nikon NSR-S620D used working1Nikon 4S015-130-1 PCB NK-C31D21 Nikon NSR-S204B Lot of 5 used working1Nikon 4S019-156 Circuit Board DVRVX4B Nikon NSR-S307E used working12Nikon 4S015-261 Circuit Board NK-C44-60R Nikon NSR-S307E used working27ASML ASSY 859-0927-009A Circuit Board MAJN032 859-0904-007A used working1ASML ASSY 859-0927-009A Circuit Board MAJN032 859-0904-007A used working1ASML ASSY 854-8301-006C Circuit Board 851-8240-007K 854-8302-003B used working1ASML ASSY 854-8301-006C Circuit Board 851-8240-007K 854-8302-003B used working1ASML ASSY 859-0741-006B Circuit Board DSP Mother Board 851-8240-007K working2ASML ASSY 859-0741-006B Circuit Board DSP Mother Board 851-8240-007K working2ASML ASSY 859-0743-018-C Circuit Board 858-8040-012A 851-8240-008A used working1ASML ASSY 851-8226-008B Circuit Board 4 Axis Counter A1212 used working1ASML ASSY 851-8226-008B Circuit Board 4 Axis Counter A1212 used working1ASML 859-8218-002Q Circuit Board ADC/ELPS Interface A1206 used working1ASML 859-8218-002Q Circuit Board ADC/ELPS Interface A1206 used working2ASML ASSY 859-8379-001B Circuit Board 851-8554-003F SBX/STD BUS used working1ASML ASSY 859-8379-001B Circuit Board 851-8554-003F SBX/STD BUS used working2ASML RadiSys Corp 879-8103-002-A Circuit Board used working2ASML 859-8147-001E Circuit Board 851-8833-001A 859-0529-004J used working1ASML 859-8147-001E Circuit Board 851-8833-001A 859-0529-004J used working2ASML RadiSys Corp 859-8150-002B Circuit Board used working1ASML RadiSys Corp 859-8150-002B Circuit Board used working2ASML ASSY 859-0743-017C Circuit Board 858-8040-012A 851-8240-007K used working1ASML ASSY 851-8226-008A Circuit Board 4 Axis Counter A1212 used working1ASML ASSY 854-8301-006A Circuit Board 854-8302-003A 851-8240-007H used working1ASML ASSY 859-0741-006A Circuit Board DSP Mother Board 851-8240-007K working2ASML ASSY 859-0743-017A Circuit Board 858-8040-012A 851-8240-007K used working1ASML ASSY 859-0927-008-G Circuit Board 859-0904-006C used working1ASML ASSY 859-0927-008F Circuit Board 859-0904-006C used working1ASML ASSY 859-0743-010A Circuit Board 858-8040-007D 851-8240-007F used working1ASML ASSY 859-0741-004-G Circuit Board 851-8240-007F used working1ASML ASSY 859-0942-004G Circuit Board 851-8240-007G used working1ASML ASSY 851-8226-008A Circuit Board A1212 4 Axis Counter used working1ASML ASSY 859-8218-002F Circuit Board A1206 ADC/ELPS Interface used working1ASML RadiSys 879-8103-001A Circuit Board used working1ASML 859-8147-001B Circuit Board 859-0529-004A 851-8833-001A working1ASML RadiSys 859-8150-002A Circuit Board used working1ASML ASSY 859-8379-001-02 Circuit Board SBX/STD BUS 851-8554-003E used working1V-Tex 3D80-001812-V1 Door Slit Valve Rollcam bbb Tokyo Electron T-3044SS Used1Horiba STEC PV-2103MC Piezo Valve PV-2000 used working1Nikon 4S018-767 Circuit Board L.TEMPX4 used working1Nikon 4S025-719 Circuit Board STGX8V1_SL-WS Nikon NSR-S620D used working1Nikon 4S025-356 PCB IO-COM4 w/ Sub-PCB 4S013-768 Nikon NSR-S620D used working1Nikon 4S025-300-1 PCB X8_WLDB Nikon NSR-S620D used working2Nikon 4S019-645-1 PCB AFX6BD1-Main w/ 2 AFX6BD1 Nikon NSR-S620D used untested3Nikon 4S025-072-1 PCB IF2X8-HUB Nikon NSR-S620D used untested1Nikon 4S025-350 Remote IO-COM PCB Nikon NSR-S620D used untested1Nikon 4S015-490-1 PCB NK-MPC7547-1200DS2 Nikon NSR-S620D used untested6Nikon 4S015-499 PCB w/ 4S015-500 & 4S025-552 used working4Nikon 4S025-476 PCB w/ Sub M00452 Nikon NSR-620D used untested1Nikon 4S022-001 Single Board Computer Nikon NSR-620D used working1Nikon 4S025-340-1 Single Board Computer Nikon NSR-620D used working2Nikon 4S025-340-1 Single Board Computer Nikon NSR-620D used working2Nikon 4S001-142 Single Board Computer Nikon NSR-620D used working1Nikon 4S025-282 Circuit Board X8_WLIO Nikon NSR-S620D used working1Asyst 9700-6584-01 Advan Tag RFID PB 90M Rev E Kokusai DD-1203V 300mm working1Vat 96603-01 Pendulum Plate used working1Kokusai Electric CX1229-2 Controller Module Kokusai Vertron Used Working1Nikon 4S587-604 Switch Box WYL SWB1 used working1Nikon 4S018-705 PCB RL-L0HSP NSR-S204B used working1Nikon Wafer Slider Side Slider Broken End Effector NSR-S204B Used Untested As-Is1Nikon 4S008-114 PCB ALGAF-PA-X4+ used working1AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working1GPI REAH-THI-400-M Temperature Humidity Controller bbb 90 used working1VAT 61144-PH52-AAM1 Throttle Valve Used Working1Nikon Circuit Board 4S001-061 PW-NB Nikon NSR-S205C Control Rack working1Nikon 4S008-115 PCB Circuit Board ALGAF-P/D-X4 Used Working3RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Used Tested Water Leak As-Is1Hitachi Kokusai F12K1550VH Left and Right Tube Furnace Used Working1RGA-50C Daihen RGA-50C-V RF Power Generator Used Tested Working6RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 18W As-Is1RGA-50C Daihen RGA-50C-V RF Generator 3D39-050099 Tested Low Output 30W As-Is1Soft Switching Technologies DS10002A120VS410001A Dynamic Voltage Sag Corrector Mini DYSL New6Pall ABFG1GPQL3EH1-K3 Ultikleen G2 Excellar Filter New2Burks 22850-6-MV Viton Repair Kit for 3CR6M 3CR6MV 22850-6MV Series Pumps New8AMAT Applied Materials 0240-23355 HTHU Heat Shield Kit Rev. B W/C 6" New Spare2Nanometrics 013-4291 Lower bbbbbb KRES-5 Lens Assembly Used Working1Oseco DI5-0590-002 Rupture Disc 056789-1-1 V-3666-1 Reseller Lot of 2 New1Kensington Laboratories 4000-60053 Axis Robot Piggyback Board PCB Used Working2Swagelok NXT-DRP161AFJFJ-C Large Body Radial Diaphragm Valve NC Actuator New2HVA High Vacuum Apparatus 11210-02023RS-001 Pneumatic Gate Valve NW50 Used1Nanometrics 899-0633 IR Source Assembly 643-0010 Reseller Lot of 2 Used Working1Celerity UFC-1661 Mass Flow Controller MFC UNIT 1661 10 SLM N2 Used Working1Celerity UFC-1661 Mass Flow Controller MFC UNIT 1661 10cc MFlo SC10 Refurbished3Fujikin FPR-ND-71-6.35-2 Diaphragm Valve Reseller Lot of 9 New Spare1Fujikin FPR-ND-71-6.35-2-ALC Diaphragm Valve Reseller Lot of 2 New Spare1KLA-Tencor 58-0090 Rotary Stage Seal Rebuild Kit Reseller Lot of 4 New Spare1Disco MOENHE69--B Oil Stone Reseller Lot of 2 New Spare1Axcelis Technologies 203669 Lollipop Microwave UV MOD H Lamp New Spare1Parker 060916V8562 Parofluor O-Ring 6-916 V8562-75 Reseller Lot of 6 New Spare1AMAT Applied Materials 3400-01435 Flex Braid Hose Assembly Swagelok New Spare1Swagelok SS-BN4-K1 PCTFE Bellows Stem Tip Adapter Kit Reseller Lot of 2 Valve1VAT 205048 Crank Bolt Kit 1000213930 Series 140 Reseller Lot of 2 New Spare1Gatan 656.07542 Felt Polishing Ring 15mm Reseller Lot of 45 JEOL New Spare1APTech AP3580S 2PW FV4 FV4 High Purity Valve AP3580S-2PW-FV4-FV4 New Spare1Fujikin CWLV-4-3-14R Block with Seal 1.125 Right Gas Feed Reseller Lot of 4 New1Fujikin CWLV-4-3-14L Block with Seal 1.125 Left Gas Feed Reseller Lot of 4 New1Fujikin CWL-4X4BW Block with Seal Single Port VCR Reseller Lot of 22 New Spare1Fujikin CWV-4-14 Block with Seal Two Port VCR Reseller Lot of 24 New Spare1FSI 290121-400 System/Logic Chemfill Interface PCB 290121-200 Edwards Vacuum New2Kensington SB91268-01 SBC Single Board Computer PCB Card 4000-60010 V13.54 Used1Kensington SB93102-01 SBC Single Board Computer PCB Card 4000-60010 Used Working1SXM AFM7109 Resonant Frequency Lock-In Board PCB Used Working1Yield Engineering 780-10197-01 Stainless Steel 200mm Wafer Boat KLA-Tencor New1West Coast Quartz 91-00367B Quartz External 8" Ring AMAT Applied Materials New3AMAT Applied Materials 0040-99957 195mm Semi Notch Shell Assembly ESC DPS New1LTD Material 10299-000 Cover Quartz ESC NGDT New Spare2LTD Material 10945-000 Quartz DC Bias Plug NGDT Reseller Lot of 8 New Spare1FSI 290122-400 System/Logic Chemfill Interface PCB 290122-200 Edwards Vacuum New4Oerlikon Leybold 13750 Exhaust Silencer Kit Reseller Lot of 2 New Spares1AMAT Applied Materials 0020-31276 Plug DC .6 SHAFT SIC-SI DOPED Lot of 14 New1Pfeiffer PU E22 001-T Diaphragm Vacuum Pump Replacement Kit MVP 015 New1Glemco 99407 High Temperature Filament Clamp Source GSD Reseller Lot of 5 New1Axcelis Technologies 17099201 Strike Plate Reseller Lot of 3 New Spares1Swagelok SS-FM4SL4SL4-12 Stainless Steel Convoluted Hose Reseller Lot of 2 New1Cosel K150AU-24 24V Power Supply Reseller Lot of 2 Used Working1Fujikin FUNSDLT-21G-6.35UGC#A Valve Fine Series Reseller Lot of 12 New Spares2Omega Engineering PX02Z0010-002GI Transducer 0-2 psig Omegadyne New Spare1LTD Material 10424-000 Quartz Chamber Tube 3500 New Spare2Ulvac Technologies 1012397 Support SS Mandala H2O Cooling Ring Lot of 2 New1Precision Plus Vacuum Parts PPL-23970211 Reseller Lot of 181 Leybold New Spares1Precision Plus Vacuum Parts PPL-23973146 Reseller Lot of 200 Leybold New Spares1Brooks Automation 4301G01 Controller Unit Sentry Supervisor New Spare1AMAT Applied Materials 0100-35124 Seriplex I/O Distribution Board PCB Card Used2Lam Research S715-011622-101 Lifter 4-Pin Memory bbbbl Reseller Lot of 3 New1Lam Research 715-013477-002 PL MTG WFR NOZ Support Plate New Spare1Rayovac RAY2312 Battery Lam Research 663-091342-001 Turbo Lot of 10 New Spares1Swagelok SS-43ZF2 Stainless Steel 1-Piece 40 Series 5-Way Ball Valve New Spare1Oseco 303241-001 Rupture Disc Edwards Vacuum Reseller Lot of 5 New1KLA Instruments Pneumatic Vibration Isolator Legs Set of 2 KLA-Tencor 21xx Used1MKS Instruments 161-0050K Inline Manual Valve New Spare1Logitrade 1-990522 Magnet Card PCB Genius Coil Current Ferro AP&T0522 Used1AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Rev. G P5000 Used1AMAT Applied Materials 0021-37702 Side Receiver Right 200mm 316-EP New Surplus1Lam Research 663-091342-001 Battery Controller New Surplus1MKS Instruments CB700-1-10 Baratron Manometer Cable Reseller Lot of 5 New Spares1Swagelok NXT-DRP161AFGFG-WH Large Body Radial Diaphragm Valve Actuator New2Net Flow Research 826308B 4-Channel Temperature Probe Assembly New6ASM Advanced Semiconductor Materials 16-321411C01 Lifter Susceptor Ring New2Lam Research 734-094637-001 Quartz bbbbbb O-Ring Reseller Lot of 5 New1Swagelok SS-4BK-K5 Stem Tip/Adapter Lam 796-002673-001 Reseller Lot of 20 New1Furon UPM2-F1212-M UPM 1000 Manually Actuated 2-Way Diaphragm Valve New Spare2ESI ES-1313-0200 2.0 mm ID Platinum Injector for Agilent ICPMS New Spare1KLA-Tencor CS-916-3 Needle QTX Backside Chuck Set of 2 New Spares1Axcelis Technologies 93221 Fusion Probe Tip New Spare1Novellus Systems 93-0122 Hall Effect Horizontal Sensor Assembly New Spare1Oriental Motor PX243-01AA Stepping Motor VEXTA Nanometrics 013-4033 New Spare1Veeco AMF 8153 1-D Tip Assembly Dektak SXM Atomic Force Microscope New Spare1Net Flow Research 826308B 2-Channel Temperature Probe Reseller Lot of 12 New1Swagelok SS-44X56-UC-1466 1-Piece 40 Series 3-Way Ball Valve New Spare1Expertech 27004971 Bypass Valve Micrometer Seal Kit Reseller Lot of 3 New Spares1NKS W2001WF-8PS1X Lead-Screw Lam Research 854-011153-002 New Spares1Lam Research 853-015130R-002-P-ELMPNE RF Match Drive 853-015130-002 Refurbished2Eurotherm PC3000 AI/VERSION 3/MV4 4-Channel Analogue bbbbb Module New Spare1Eurotherm PC3000 AO/VERSION3/V4 4-Channel Analogue Output Module New Spare1Eurotherm PC3000 DO/VERSION3/LGC12 Logic Digital Output Module New Spare1Net Flow Research 826308B 2-Channel Temperature Probe Reseller Lot of 12 Used1Eurotherm PC3000 RIM/VERSION3/ Rack Interface Module PC300#388169 New Spare1Eurotherm PC3000 DI/VERSION3/CC14 14-Channel Dry Contact bbbbb Module Used1Eurotherm PC3000 LCM-PLUS/VERSION3/NOMEM/NOMEM/320 Local Control Module Used1ESI ES-2122-5351 35mm PFA Spray Chamber w/Endcap Agilent 7500/HP ICPMS New Spare2ASM Advanced Semiconductor Materials 04-324594A01 200mm Side TC Sheath Kit New1RECIF Technologies SPCH Wafer Handling Wand Support Reseller Lot of 8 Used1Humphrey HMRGH20X18" I/O Door Vertical Cylinder Novellus 93-0099 New Spare1SUSS MicroTec B01SBND SB8 Chamber Leveling Plates Kit Used Working1SCP Santa Clara Plastics 3270171A Auxiliary Relay Unit MCS ARU Module Used1SCP Santa Clara Plastics 3270171F Auxiliary Relay Unit MCS ARU Module Used1Schumacher BK1200SSB Stainless Steel Bubbler Ampule Used Working1SCP bbbbbb Technology 00018633 Auxiliary Relay Unit SCP MCS ARU Module Used1Novellus Systems 97-3856 DCE Tube Clean Mod Upgrade Kit with MFC & Hardware New2AMAT Applied Materials 0010-09181 Precision 5000 Platbbbb DC Power Supply Used1Mitsubishi P90U Video Copy Processor Video Printer P90 Used Working1Mitsubishi P90W Video Copy Processor Video Printer P90 Used Working1Sony Video Copy Processor Video Printer UP-870MD UP-890MD Lot of 2 Damaged As-Is1ASM Advanced Semiconductor Materials 3553116-01 Diaphragm Blowout Port Lot of 41Nor-Cal 3870-02286 Angle Valve Assembly 0040-36023 AMAT Applied Materials Used15Ebara A10S Multi-Stage Dry Vacuum Pump 32823 Hours Tested Working Spare1JEOL BP102104 Connector PC Board PCB 4TP-1B815 JWS-7555S SEM Working Spare1SRC SEC-220VE Extender Board PCB Card JEOL JWS-7555S SEM Working Spare1Nor-Cal AIV-1502-CF Angle Valve Assembly 0040-36023 AMAT Applied Materials Used5AMAT Applied Materials 0224-46313 Valve Assembly Swagelok Nupro 6LV-BN8BW8 Used3Nor-Cal Products 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working1MKS Instruments 161-0040K Inline Manual Valve Used Working2Nor-Cal Products 3870-02286 Manual Angle Valve AMAT Used Working1AMAT Applied Materials 0040-36024 Vacuum Tube 5 Inch NW40 Lot of 2 Used Working7AMAT Applied Materials 0224-43876 Vacuum Tube 2 Inch NW40 Lot of 3 Used Working1MARTEQ 1076701.1.1 Matching Transbbbber with Transducer Rev. F Lot of 2 Used Working6bbbemecanique LC1F150 3-Pole Contactor Square D Used Working1AMAT Applied Materials 0150-20112 EMO Generator 1/2 INT Cable Assembly New1Delatech CDO 857 LED PCB Board Used Working1CTI-Cryogenics 8031135 3/4" Cryogenic Helium Coupling Adapter Lot of 3 New1Nidek S1145-PC2277A IM-14 Wafer Loader PCB Board IM-11 MAIN/11 Used2Oriental Motor CSD5714D 5-Phase Driver PCB Board Vexta Used Working2Nidek S1470-PC2592A Wafer Autoloader PCB Board IM14-MF1 OF/? Used Working1Nidek S1145-PC2281A Wafer Autoloader PCB Board IM-11 LD/11 Used Working1PRI Automation BM23475L14RH PCB Board PC23475 Used Working1PRI Automation BM18251 PCB Board PB18251 BM18251/G Used Working1PRI Automation PB18251 PCB Board Used Working1Entegris H9200-0023 200mm Ultrapak Wafershield Wafer Transport Lot of 8 New8Leybold Inficon 903-001-63 Transpector Gas Analysis System IPC-50 Turbovac Used1Sti MS4300 Light Curtain Set 42672-0280 Transmitter 46287-0280 Receiver Used1Sti MS4300 Light Curtain Set 42687-0240 Transmitter 42672-0240 Receiver Used1Sti MS4312B-2 Light Curtain Transmitter MiniSafe-B MS4300B-2 Series Used Working1Sti MS4316B Light Curtain Reciever miniSafe-B Used Working1Sti MS4324B Light Curtain Reciever miniSafe-B Used Working1Sti 42672-0280 Light Curtain Reciever MS4328BR MiniSafe MS4300 Used Working1Molecular Analytics 5703121-96-30 AirSentry-IMS System AMI/ACID Incomplete As-Is1FSI International 400-A/N290207 PCB Board B/N290207-200 Used Working1Astec Powertec 080-25443-004 Super Switcher Power Supply 9K48-23-372-FG Used3Square D FAL22020 2-Pole Thermal-Magnetic circuit Breaker 20A Used Working1PRI Automation 7500 Box Pod Power Distribution Box Used Working1PRI Automation BM2246L04 Horizontal Transfer Frame Missing Parts Used As-Is1SMC 02-121427-00 Gate Valve Actuator XGT-0402AWM-X16 Rev. 7 Used Working1Nidek S1145-PC2282-A IM-14 Waker Autoloader Motor Assembly IM-11 AP/11 Used1Nidek S1145-PC2282-A IM-14 Wafer Autoloader Motor Assembly Missing Sensors As-Is1Nidek S1193-PC2419A IM-14 Wafer Autoloader Stage Assembly Olympus OMS324 As-Is1ETA Electric ERB24SZ Compact Power Supply Used Working1Promac Semiconductor 1252234 Deck Rinse Tank Round Dump SPC 94001Varian E15000101 Multi-Electrode MANIP Servo PCB Board Rev. F E14000101 Used1KLA-Tencor 710-678715-00 SAT Backplane Assembly PCB Used Working4Varian E15000031 Digital I/O PCB Board E14000031 Rev. K for Repair As-Is1Varian E15001650 24 Digital Output PCB Rev. A E14001650 for Repair As-Is1Varian E15000710 Load Lock Interface PCB Board E14000710 for Repair As-Is1Varian E15001720 Exhaust Leakage Interface PCB Board E14001720 Used Working1Varian E15000320 Elevator Control PCB Board Rev. C2 E14000320 for Repair As-Is1Millipore RGEN-01 Photoresist Pump RDS bbb Tokyo Electron CT2911-000003R14 Used17Millipore RGEN-01 Photoresist Pump RDS bbb Tokyo Electron CT2911-000003R14 Used3Millipore RGEN-01 Photoresist Pump RDS bbb Tokyo Electron CT2911-000003R14 Used15Millipore RGEN-01 Photoresist Pump RDS bbb CT2911-000003R14 Refurbished2Sti 42672-0240 Light Curtain Receiver MS4324BR MiniSafe B MS4300 Used Working1Nidek IM-14 Wafer Autoloader Lift Motor Assembly Lot of 2 for Repair As-Is1AMAT Applied Materials 0150-09072 Cable Leveling Head Extension New1CTI-Cryogenics 8041617G007 Cryopump Pressurized Hose AMAT 3400-01076 Used1Kondoh 2980-091564-11 FFU Fan Filter Unit EIC-Y93P280 2T-450900FU-STG-11 Used2Numatics VS14-01SA2 Shut-Off Valve Reseller Lot of 24 New6Camozzi Pneumatics 6555 04-04 Stem Elbow Reseller Lot of 100 New2Camozzi Pneumatics 6555 04-04 Stem Elbow Reseller Lot of 50 New3Precision Robotics PA26331 Sealing Band 1010 THK UHMW 100 Foot Roll New1Pfeiffer Vacuum PM 006 984 AX Splinter Shield PM006984AX New1Pfeiffer Vacuum PF 303 116-T Centering Ring ISO160 19-02526-05B Lot of 2 New1Sti 43268-0240 Light Curtain Controller MiniSafe-B MS4324B-2 Used Working4AMAT Applied Materials 0150-09087 SUSC CAL Display Power Cable New1DuPont AS-568A O-Ring Seal Kalrez Sahara AMAT 3700-00209 Lot of 3 New1bbb Tokyo Electron 011-000950-1 Photo Resist Pump F-T100-1 Used Working1Pall PHF22GP4H11-T Photo Resist Reservoir Tank CKD TMD002-X8 Used Working2IDI 202G-COM Integrated Dispense System Photoresist IDS Controller 3-Card Used1IDI Integrated Designs Chemical Dispense Card Rev. 3 IDI 200 PCB Used Working3IDI Integrated Designs Dispense Mother Board Rev. 202G IDI 200 Used Working1IDI Integrated Designs Dispense Mother Board Rev. B IDI 200 Used Working2IDI Integrated Designs Chemical Dispense Card PCB Lot of 2 for Repair As-Is1Emerson T20/250V Power Supply PCB IDI 200 Integrated Dispense System Used3IDI 202G-COM Integrated Dispense System Photoresist IDS Controller 2-Card Used1IDI 201D-COM Integrated Dispense System Photoresist IDS Controller 2-Card Used1IDI 202G-COM IDS Controller Photoresist 2-Card Faulty Alarm Used Tested Working1IDI 201D IDS Controller Photoresist 3-Card Faulty Card Used Tested Working1IDI 202G-COM IDS Controller Photoresist 3-Card Alarm Fault Used Tested Working1IDI 201D Integrated Dispense System IDS Controller No Cards Used1IDI Integrated Designs 203-MC6L1S IDS Dispenser Photoresist Used Tested Working2IDI 203-MC6L1S IDS Dispenser Photoresist 5-Port System Used Tested Working1IDI Integrated Designs 203-MC6L1S IDS Dispenser Photoresist No Power As-Is2Sti 43268-0160 Light Curtain Controller MiniSafe-B MS4316B-2 Used Working6IDI 203-M8L1B-S IDS Dispenser Photoresist Lot of 2 Incomplete Untested As-Is1KNF Neuberger 1156-N813.0-300 Pump CMP/ICP400 Lot of 11 for Repair Tested As-Is1IDI Integrated Design 203-MC8L1S IDS Dispenser Photoresist Used Tested Working1IDI Integrated Design 201-M6L10-S IDS Dispenser Photoresist Used Tested Working1Millipore W2501CC01 Photoresist Pump Controller PHOTO-COOL Used Tested Working1Millipore SPECIAL Photoresist Pump Controller PHOTO-250 Used Tested Working1Leybold 72142075 RCU LCU System Controller 721-42-075 Used Working1UNIT Instruments 1300-100132 Pressure Controller UPC-1300 200 SCCM N2 Used1Tylan General FC-280SAV Mass Flow Controller MFC 50 SCCM N2 Used Working1Tylan General FC-280SAV Mass Flow Controller MFC 200 SCCM N2 Refurbished1Tylan General FC-280SAV-4V Mass Flow Controller MFC 50 SCCM N2 Refurbished1Leybold 72142079 BRIS BCS Remote Indicator System 721-42-079 Used Working1UNIT Instruments UPC-1300 Mass Flow Controller MFC 50 SCCM He Refurbished2Granville-Phill�ips 274015 Glass Ion Gauge Tube 274 Vacuum Pressure Gauge New2Swagelok 6LV-DA10359P-GR 4-Way Manual Valve New2HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 New1HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 Lot of 10 Used1HP Hewlett-Packard 16320-66551 Pin Card PCB B-3152 16320-6651 Lot of 10 Used1HP Hewlett-Packard 16320-66501 Pin Card PCB A-2315 HYP Used Working2Semy Engineering 5010042 Gas Interface PCB Assembly MYP830400B bbb Mypro New8Leybold 72142060 DRIS Dryvac Remote Indicator System 721-42-060 Used Working1Semy Engineering MYP821800B Alarms Process Auxiliary Display Panel Used Working1Edwards B65301000 Gate Valve Manual Operation GVI 100M ISO100 ISO-F Used Working1Edwards C10009670 High Vacuum Flexible Bellows Stainless ISO100 Used Working1Semy Engineering 908.00.04 Gas Interface Power Supply New Surplus7Ebara ESR200WN Multi-Stage Dry Vacuum Pump ESR Series Tested New Surplus2A10S Ebara A10S-S Multi-Stage Dry Vacuum Pump 23529 Hours Used Tested Working1Northeast Robotics 501200 Variable Output Power Supply RSVI Used Working2Standard Power Supplies CPS 120-S Power Supply 5VDC Used Working1Olympus TDO Variable Intensity Microscope Illuminator Transbbbber Used Working1International Power IHDCC-150W Power Supply Used Working2CTI-Cryogenics 8033295 8F Cryopump CRYO-TORR High Vacuum Pump Used Working1ATMI Packaging PL04KAM1A1-AA-060309 NOWPak Dispenser New Surplus1Parker 8V1-B8LJ-SSP-C3 Two Way B-Series Ball Valve Stainless Steel New Surplus1Deltron Q5-12.0 Linear DC Power Supply MRC Materials Research 1010210 New1Rectus 21KBAW13RVX Quick Coupling Reseller Lot of 10 Parker Hannifin New Surplus5Verteq 1069347.3 Matching Transbbbber 1076701.1.1 Transducer 1:1.20 855 Used1Verteq 1069347.3 RF Matching Transbbbber 1076701.1.1 Transducer 1:1.25 830 Used1Verteq 1069347.3 RF Matching Transbbbber 1076701.1.1 Transducer 1:1.30 855 Used1Verteq 1069347.3 RF Matching Transbbbber 1076701.1.1 Transducer 1:1.40 869 Used1Verteq 1073995-18 RF Cable 18 Foot 5.4 Meters Reseller Lot of 6 New Surplus1ENI OEM-1250 RF Generator 1250W 13.56MHz Refurbished1Keyence FS-L71 Amplifier Unit Load Lock Sensor 02-329390D02 Axcelis 119927 New1Whitman Controls P117V-3N-K52L Vacuum Switch Reseller Lot of 3 New Surplus1Maxon Motor 137489 DC Motor Reseller Lot of 3 New Surplus1Millipore CWUZ 01P 01 Microgard UPX 10" Filter 0.05um Reseller Lot of 4 New1Leica Wetzlar 301-360.055 MA-MO Master Modul PCB Card 301-360.055-007/02 Used1bbb Silicon Valley Group 165696-004 Temperature Control Harness Assembly New1AMAT Applied Materials 0010-09125 Heater Leveling Plate P5000 Precision 5000 New2AMAT Applied Materials 3700-01372 Viton 75 DURO Brown O-Ring Lot of 116 New1Oerlikon 102211837 Cryo Compressor Water Line 6 Meter Set of 2 Used Working2Oerlikon 102093881 Transport or Vacuum Testing Dummy-Target Used Working3Simco 4007165 Aerostat Ionizing Air Blower Guardian CR Cleanroom Used Working3AMAT Applied Materials 0020-13079 Universal Chamber Output Manifold ASL New1Matheson Gas Products PAN-0898-XX Vacuum Pump VACUUM-PUMP-66001KLA Instruments 200-000011-00 Microscope Turret Assembly 655-653668-00 2132 Used1Nova Measuring Instruments 210-42000-01 QTH Illumination Assembly w/o Lamp Used1Motorola WPLN4111AR Impres Adaptive Charger V3.90 MOTWPLN4111AR New Surplus1Process Technology 926432-001 Solid State Relay Retrofit Kit Helios Heater New1KLA Instruments 710-658161-20 Image Sensor Assembly 073-655201-00 Used Working1OEM Group A29-00003-00 bbbbb Blank Off Plate AMAT Centura Lot of 2 New Surplus1Hamamatsu R1617 Photomultiplier Tube Multialkali Photocathode New Surplus1AMAT Applied Materials 0140-78188 Power Control Communication Cable New Surplus1Nova Measuring Instruments 210-42000-04-R QTH Illumination Assembly Refurbished1A10S Ebara A10S-S Multi-Stage Dry Vacuum Pump 10968 Hours Used Tested Working1Beco SBV-24-M Suckback Valve PTFE Male and Female Set of 2 New Surplus1AMAT Applied Materials 0020-10014 Lift Ring P5200 Precision 5200 Used Working1Hosco V0429D Pressure Switch PM Series PMN 1AV Leybold 20077473 New Surplus3Hosco V9716E Pressure Switch PM Series PMN 1AV Leybold 20077473 New Surplus1Thermo Fisher 130048-00 Y-Cable Set of 2 for Kevex Omicron XRF New Surplus1AMAT Applied Materials 0020-34111 Heater Leveling Plate Precision 5200 New3AMAT Applied Materials 1868624 200mm Pre Clean II Kit 0200-00218 0021-54083 New2VAT 0300X-CA24-ALL1 Slit Valve AMAT Applied Materials 3870-00467 Refurbished1HPS Vacuum 100001687 Lam Research 766-009866-080 NW80 Bellows Assembly Kit New2Prisma PPWS Pneumatic Actuator Valve Assembly 1/4" BSP New Surplus1AMAT Applied Materials 0021-36689 Heater Leveling Plate TXZ Precision 5200 New1UNIT Instruments UFC-8161 Mass Flow Controller AMAT 3030-12413 200 SCCM CL2 Used1Tylan FC-2960MEP5 Mass Flow Controller MFC 2900 Series 2 SLPM N2 Refurbished1Proteus Industries 91025006S24P2 Flow Meter AMAT 0090-76204 Used Working11Cymer 06-02003-00B Blower Motor for ELS-6600 Laser ASML Used Working1TCP 600 Pfeiffer PM C01 320 C Turbomolecular Pump Controller Used Tested Working1Ryan Herco SC-AB1P00319J-M12-M4-1 10" RHFS Filter Reseller Lot of 15 New Surplus1Pall T8122423300 Polypropylene Slurry Filter CMP205-20UV-M3 300 New Surplus1AMAT Applied Materials 0020-34112 Reference Plate P5200 Precision 5200 Used1Nova Measuring Instruments 210-70090-00 NovaScan 420 Notebook Assembly Used2ImperX VCE-B5A01 Flat PCMCIA Frame Grabber Card NovaScan 420 960-08011-00 New1Fire Sentry RSD-00098-FD Multi-Spectrum Digital Flame Sensor Digital Ansul New1

bbb Tokyo Electron HTE-TC3-A-AA IF TC CTRL #03 Board PCB TMB2200 Lithius

bbb Tokyo Electron I/O Chamber 5-Port Manifold SMC SQ1331RY-5-C4-Q PR300Z

bbb Tokyo Electron ICPL Interface Block Chill Plate Process Station Lithius

bbb Tokyo Electron ID86-003592-13 Ion Gauge Assembly 274012 UNITY II Working

bbb Tokyo Electron Interface Block Roller Clean Track ACT12 Working

bbb Tokyo Electron Interface Block Roller Clean Track Lithius 300mm Working

bbb Tokyo Electron Interface Rollers and Interface Bracket ACT12 Working

bbb Tokyo Electron IRAM Pneumatic Manifold Interface Block Lithius Working

bbb Tokyo Electron Lithius Career Station System PCB Controller UA023/350A Spare

bbb Tokyo Electron Lithius Power Supply Cosel AD960-24 Komatsu BAMA01132 Working

bbb Tokyo Electron Loader Assembly Trias CVD Chemical Vapor Debbbbbbbb Working

bbb Tokyo Electron LPC-T0007A-11 Load Port Add On Board PCB T0B1007 ACT12

bbb Tokyo Electron LPC-T0007A-11 Load Port Assembly ACT12 Cassette Block

bbb Tokyo Electron LPC-T0008A-11 Load Port CONN Board 100-T0008A-11 ACT12

bbb Tokyo Electron MA15605B SW-PANEL LED PCB FAP-1601-1202-0BF 5

bbb Tokyo Electron Mark 7 Cable Set of 3 386-439510-2 386-439680-5 386-446459-13

bbb Tokyo Electron MLXS-D12-670-3 Laser Assembly 2 Unity II Working

bbb Tokyo Electron Motorized Y-Axis Linear Track Assembly P-8 Working Surplus

bbb Tokyo Electron MPC-T0070A-14 PCB I/O MTR #04A TOB1106A T-3044SS Working

bbb Tokyo Electron OEM Operation Manual Set Clean Track Lithius

bbb Tokyo Electron Operator Display Panel P-8 Fully Automatic Wafer Prober Spare

bbb Tokyo Electron Optical Disc Drive DVD Reseller 13 Lithius Working

bbb Tokyo Electron OYDK-017A IO P-CHEM #01 PCB Lithius Working

bbb Tokyo Electron OYDK-052 Connector Board PCB ANALOG 0-1 #02 Lithius Working

bbb Tokyo Electron OYDK-053 ANALOG 1-5 #02 PCB Board Lithius Working

bbb Tokyo Electron OYDK-058 Interface Board PCB CONN ANALOG #03 Lithius Working

bbb Tokyo Electron OYDK-059 IO CHEM #02 PCB Board OYDK-062 Lithius Working

bbb Tokyo Electron OYDK-065 Interface PCB CONN EXT CHEM #02 Lithius Working

bbb Tokyo Electron OYDK-101 IO Chem #02A Board PCB M1 Working

bbb Tokyo Electron P521EE R010 Backplane Board PCB E82152 ACT8 Working

bbb Tokyo Electron P-8 Camera Control Assembly GP-MF212A KLA 710-805314-00

bbb Tokyo Electron P-8 Camera Module Sony XC-75 Kowa GFZ-2160 Working Surplus

bbb Tokyo Electron P-8 System Controller Computer SBC MVME 147-023 PCB Working

bbb Tokyo Electron PBCP2-U250-01-I AC Power Cabinet Cellesta CPS LINE As-Is

bbb Tokyo Electron Photoresist Reservoir Tank Sunx EM-071T Lithius Working

bbb Tokyo Electron PHP Pneumatic Control Panel ACT12-300 300mm Working

bbb Tokyo Electron PM3 RF Pump Box Assembly PCB 2L81-050097-32 MARK 8 Working

bbb Tokyo Electron PPC-T0006A-11 PLD (CHEMI) PCB T0B1006 2988-803062-11 ACT12

bbb Tokyo Electron PR300Z 300mm Wafer Spin Cassette BEOL Copper Working

bbb Tokyo Electron PR300Z Robot Assembly with 300mm Wafer Handler Copper

bbb Tokyo Electron PR300Z Wafer Spin Motor Assembly BEOL Cleaning System

bbb Tokyo Electron PRA PINCETTE ASSY 2985-410519-W1 FORK 2910-202413-12 300mm

bbb Tokyo Electron Prealigner Loader Module Cut Cables bbbius T-3044SS Working

bbb Tokyo Electron Process Cup Assembly 5004-100005-1 Lithius Working Surplus

bbb Tokyo Electron Process Station Cup Assembly 5004-100005-1_ Lithius Working

bbb Tokyo Electron Protection Ring Cloudy Working

bbb Tokyo Electron PS Controller 3D81-000065-V1 3D81-000067-V1 T-3044SS

bbb Tokyo Electron PS1 Assembly Power Supply Tray PBA600F-24 T-3044SS

bbb Tokyo Electron PS2 RF Pump Box 2L81-050065-V1 2L81-050053-V1 2L81-050054-V1

bbb Tokyo Electron PS2 RF Pump Box TYB62F-1/PUMP T-3044SS Etcher

bbb Tokyo Electron Resist Cup Assembly 2904-190008-1 Lithius 300mm Working Spare

bbb Tokyo Electron REX-B860-CS2 TMP 8ch Control PCB Card Unity II Working

bbb Tokyo Electron RLTEMP-000187 Stage TC Trias CVD System Working Spare

bbb Tokyo Electron Robot Controller T-3044SS Working

bbb Tokyo Electron Robot Controller bbb T-3044SS Working

bbb Tokyo Electron Set-Up Fixture 200.5 2 ACT8 200mm Working

bbb Tokyo Electron SH5M015T1 Photoresist Filter FMVLS F16SS VCO ACT12

bbb Tokyo Electron SHU Wafer Shuttle Slider Assembly 2985-449464-W3 ACT12 Spare

bbb Tokyo Electron SLAM CUP KIT RT Clean Track ACT12 Copper Cu OEM Refurbished

bbb Tokyo Electron SPC-T0046A-11 PCB I/F STP #02 TOB1046 T-3044SS

bbb Tokyo Electron Spin Drive Assembly Sanyo Denki PM-UPD1S06-20 HA-014 Lithius

bbb Tokyo Electron Stainless Steel Cup Kit 4892 4889 2629 ACT8 Working

bbb Tokyo Electron Stainless Steel Cup Kit Clean Track ACT8 Working

bbb Tokyo Electron SVC5 17-Port Manifold SMC SQ1131Y-5-C4-Q PR300Z Working

bbb Tokyo Electron SVME/AT-BCN/A PCB Board SVA603A-I-S Unity II Working

bbb Tokyo Electron TAB216/1/VT1-R Interface Board PCB CX08-050026-11 Spare

bbb Tokyo Electron TDB113-1 / I01 RF Pump Box ACE450F Working

bbb Tokyo Electron TEB-302-1/BP PCB Board EC81-000010-11 Unity II Working

bbb Tokyo Electron TEB405-1/FD2 PCB Card EC81-000007-11 Unity II Working

bbb Tokyo Electron TGB808-1/VLV Slit Valve 3M86-027269-11 Trias System Working

bbb Tokyo Electron TGB808-1/VLV Slit Valve 3M86-027270-11 Trias System Working

bbb Tokyo Electron TKB7000 IO SPIN #01 TKB7043 DEV ASSY PCB Lithius Working

bbb Tokyo Electron TKB7001 IO Spin #02 TKB7042 PCB Board Lithius Working

bbb Tokyo Electron TKB7001 IO Spin #02 TKB7043 PCB Board Lithius Working

bbb Tokyo Electron Tray Loader Assembly P-8 Wafer Prober Working

bbb Tokyo Electron TRF STG Wafer Transfer Stage Working

bbb Tokyo Electron TRS Transition Stage Process Station Lithius Working Spare

bbb Tokyo Electron TRS/TRS Transition Plate Process Station Lithius Working

bbb Tokyo Electron TS285-004583-2 Arm Loader RT End Effector Fork Surplus

bbb Tokyo Electron Tubephragm Pneumatic Manifold F-AVP070-4W Lithius Working

bbb Tokyo Electron Tuner Controller Assembly Nihon AMC-9501-CONT51 Trias Surplus

bbb Tokyo Electron U1600B10 Connector Interface Board PCB Working

bbb Tokyo Electron Unmarked Electrode BTM CAR OXIDE Copper Cu Exposed As-Is

bbb Tokyo Electron Unmarked Electrode BTM CAR OXIDE Cu Copper Exposed As-Is

bbb Tokyo Electron Wafer Chamber Assembly Unity II Surplus

bbb Tokyo Electron Wafer Indexer Robot 300mm Immersion System Incomplete As-Is

bbb Tokyo Electron Wafer Tray Loader Assembly P-8 200mm Wafer Prober

bbb Tokyo Electron Wash Cup Disc Wafer Lithius Working

bbb Tokyo Electron WZ10-102397-11 X1 Faraday Shield

bbb Tokyo Electron XPC-T0045A-11 CONN PRA X #02 PCB Board TOB1045 Lithius

bbb Tokyo Electron ZPC-T0036A-11 Connector PCB TOB1036 5044-000018-11 Lithius

bbb UIFC CONN BOARD 1A81-010400-42 PCB-E-I-5

bbbe- Haase IH1AAC3V + NT3 (24V DC) Neu ohne OVP

bbbe- Haase IH5AAC3V + NT3 (24V DC) Neu ohne OVP

bbbecast G2400D Copperhead

bbbecentric Lens LONG WD SERIES MTL1.OX-300D W.D : 300mm NNB OPT-I-240=2A21

bbbEDYNE ANALYTICAL 3010TAC CU, P/N:Q006674 REV.1 W/O Fuse SEN-I-1846=9I23

bbbEDYNE DALSA AQUARIUS CL PCIe x4 ,OR-X4C0-XPD00 ECO#19310 PCB-I-E-1954=9G35

bbbEDYNE DALSA AQUARIUS CL PCIe x4 OR-X4C0-XPD00 Eco04251 PCB-I-E-2003=ZA05

bbbEDYNE DALSA AQUARIUS CL PCIex4 OR-X4C0-XPD00 ECO#16545 PCB-I-E-1956=9G35

bbbEDYNE DALSA HS-S0-12K40-00-R Piranha HS OPT-I-526=9L27

bbbEDYNE DALSA OR-X8H0-RP400,XL-F130-25701-02 5302-015920 PCB-I-E-2091=6Cx3

bbbEDYNE DALSA OR-X8H0-RP400,XL-F130-25701-02 5302-015920 PCB-I-E-2092=6Cx3

bbbEDYNE DALSA Piranha LineScan HS-S0-12K40-00-R,AWG-TW-6M OPT-I-680=9L37

bbbEDYNE DALSA S3-10-02K40-00-R Line Scan CAMERA bbbb OPT-I-201=1N13

bbbedyne LeCroy 500 MHz Oscilloscope WaveJet Touch 354 Working Surplus

bbbeFrank 013500-510-27 AC-DC Converter FL6M TEP110-24 Brooks Fixload Working

bbbeFrank 013501-177-27 Load Port Modul E84/I Brooks FIXLOAD V6 Working

bbbeFrank 013501-186-27 Load Port Interface Connector Brooks FIXLOAD V6

bbbegärtner Modular 12x LC-D MM violet H82050N2003

bbbi CS3910BH-01 CCD Inspection Camera TK6019A4 Rev. B Nikon NSR FX-601F Working

bbbi CS8550i-55 Industrial Camera CCD Camera

bbbI industrial camera CS8630i OPT-I-560=o407

bbbSTAR TSL-BG5 SEN-I-965=7C34

bbbtec 01V 5088 Circuito PCB

TEMPTRONIC CORPORATION TPO4010A-3020-2 Thermal Induction System

Tem-Tech NPS1000-420-1 Compact Digital Display Reseller 31 Working

Tencor Instruments 054291 Keyboard Panel PCB Surfscan 4500 KLA-Tencor As-Is

Tencor Instruments 077860 PCB Card 058629 Surfscan 4500 KLA-Tencor Working

Tencor Instruments 079553 Photomultiplier Assembly R2066-03 Surfscan 4500

Tencor Instruments 136867 Laser Shuter MOD PCB Assembly Working Spare

Tencor Instruments 183270 CASS SZ SW 100-200MM Board PCB KLA-Tencor AIT I

Tencor Instruments 228443 Surfscan SWIFT/Station Plus KLA-Tencor

Tencor Instruments 261408 4 Channel Motor Control PCB Card Rev. B Working

Tencor Instruments 285315 Distribution S8000 Board PCB KLA-Tencor AIT I

Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. B KLA

Tencor Instruments 317195 Robot Distribution S8000 Board PCB KLA AIT I

Tencor Instruments 328014 Cradle Power AIT PCB Board Rev. AA Working

Tencor Instruments 363251 4 Channel Motor Control PCB Card Rev. AC AIT 2

Tencor Instruments Wafer Flat Finder Assembly 098930 CCD-FFM Surfscan 7000 Spare

Tencor Motor Assembly with PCB 134384 Pittman 9434G167 Surfscan KLA Working

Teqcom MV24M-A Needle Valve 1/4MP TEF Reseller 13

Teradyne 804-313-00 LHAS Monster Cable PLC Assembly Surplus

Teradyne 829-660-00 Universal 300 MIL SOJ DIB Round PCB Untested AS-IS

Teradyne 829-661-00 DIB Check DIB Round PCB Untested AS-IS

Teradyne Interface PCB 950-944-02/04210 Working

Teradyne Sabbblite Control PCB 950-818-05-9635 Working

Teral VKC776AQ-KR-e Coolant Pump bbb Tokyo Electron 5014-004388-12 Spare

TERMOTEK NNB Controller Panel ZKS-3 14862-1651-TS-T NS DRV-I-2356=9D34

TERMOTEK P203-14864 Recirculating Chiller Rack Mount type SEM-I-968=P1

TERMOTEK P203-14864-1 2500W Recirculating Chiller Rack Mount SEM-I-967=P1

TERMOTEK Recirculating Rack Mount Chiller P203-14864-1 2500W SEM-I-966=P1

TES surplus TES-1350A SOUND LEVEL METER SEN-I-1296=7C24

Tesch Netzfilter NF100-25A 003.00003 Neuwertiger Zustand

Tesco 480/551A Current Tester W/ Scientific Columbus SC 10

Tescom 12-1A11IGS2W1.54 Manual Pressure Regulator High Purity 8

Tescom 23-3B25AAHH-016 Regulator 100PSIG Max Output

Tescom 309-079 Manual Regulator bbb Tokyo Electron ES1D80-090098-TM Surplus

Tescom 33-1C52IGS2C-009 High Purity Valve 31

Tescom 44-2260-242-010 Manual Pressure Regulator Valve Reseller 3

Tescom 44-2268-R62-282 Pressure Regulator

Tescom 44-2360-A4-016 Manual Pressure Regulator Mykrolis 25 PSI Gauge

Tescom 44-2662-R92-067 Manual Pressure Regulator 4

Tescom 449-265-0RR9 Manual 3-Way Pressure Regulator Working Surplus

Tescom 501105-R Manual Pressure Regulator Reseller 23 Working

Tescom 64-2841KRV10 Regulator Valve Surplus

Tescom 64-3242KRL20 Manual BSGS Pressure Regulator 64-3200 Series Surplus

Tescom 74-2460KRH10 Manual Diaphragm Regulator Valve Working

Tescom 74-2461KRG20-037 Manual Pressure Regulator Reseller 6 Working

Tescom 74-24V1KR920-071 Manual Regulator 74-2400 Reseller 2 Working Spare

Tescom 74-3841KRU30 Max Einlass 600 Psi, 60 Psig Max Auslass

TET 080SB Sensor Unidad Con / Broches y Carcasa (Lote De 2)

TET NQPACK080SB Sensor Unidad Con / Broches

Texio PDS20-36Y2 Regulated DC Power Supply Kenwood IF-30RS Tested Working Spare

TGM2BB20-250A-C73K TPC Guided CYLINDER SMC MGG MGC Type CYL-GUD-I-77

THALES RS3041CJ Water-cooled, high mu triode for RF Heating SEM-I-255=6B36

The Mickle Laboratory Engineering Co. Ltd Tissue Chopper

Therma Wave 30-135778 Grendel Master Node Opti-Probe Working

Thermalogic 121-201-F PCB Card RA2015-03 Working

Thermalogic Corp. Power Board K121-180 REV.C working 718-562

Thermalogic PCB 718-525 Working

TEL Tokyo Electron 5004-200011-12 (2024)

References

Top Articles
Latest Posts
Article information

Author: Fr. Dewey Fisher

Last Updated:

Views: 6269

Rating: 4.1 / 5 (42 voted)

Reviews: 89% of readers found this page helpful

Author information

Name: Fr. Dewey Fisher

Birthday: 1993-03-26

Address: 917 Hyun Views, Rogahnmouth, KY 91013-8827

Phone: +5938540192553

Job: Administration Developer

Hobby: Embroidery, Horseback riding, Juggling, Urban exploration, Skiing, Cycling, Handball

Introduction: My name is Fr. Dewey Fisher, I am a powerful, open, faithful, combative, spotless, faithful, fair person who loves writing and wants to share my knowledge and understanding with you.